Dark | Light
[GUEST ACCESS MODE: Data is scrambled or limited to provide examples. Make requests using your API key to unlock full data. Check https://lunarcrush.ai/auth for authentication information.]

[@semivision_tw](/creator/twitter/semivision_tw)
"Hybrid Bonding at Scale: BESIs Vision and Industry Evolution in 3D Integration"  
[X Link](https://x.com/semivision_tw/status/1976102984900804694) [@semivision_tw](/creator/x/semivision_tw) 2025-10-09T01:50Z 2249 followers, XXX engagements


"#Advantest Leading the AI Testing Wave: Earning the Title of the ASML of the Test Industry"  
[X Link](https://x.com/semivision_tw/status/1954537847417114960) [@semivision_tw](/creator/x/semivision_tw) 2025-08-10T13:38Z 2249 followers, XXX engagements


"🟡SemiVision : IC testing giant #KYEC announced today (Sep 5th 2025) that it will spend NT$2.132 billion to purchase 12000 sets of equipment from Advantest. Benefiting recently from rising testing demand for #NVIDIA AI chips KYEC is actively expanding capacity with this equipment purchase aimed at fulfilling customer orders"  
[X Link](https://x.com/semivision_tw/status/1964131060339597675) [@semivision_tw](/creator/x/semivision_tw) 2025-09-06T00:58Z 2249 followers, 12.6K engagements


"Powering the Next Generation of AI Chips Besi Applied Materials Besi Switzerland AG has announced its collaboration with Applied Materials on the newly introduced Kinex Bonding System the industrys first fully integrated die-to-wafer hybrid bonder. As AI and high-performance computing chips grow increasingly complex the demand for tighter integration higher accuracy and better process efficiency continues to rise"  
[X Link](https://x.com/semivision_tw/status/1976103070334640182) [@semivision_tw](/creator/x/semivision_tw) 2025-10-09T01:51Z 2249 followers, XX engagements


"Jensen Huang was visiting TSMCs Arizona Fab XX to celebrate the birth of the first U.S.-made NVIDIA Blackwell chips. As Huang remarked This is a historic moment. To witness this milestone firsthand was truly moving a defining chapter in semiconductor history. Picture from Threads"  
[X Link](https://x.com/semivision_tw/status/1979393788721401901) [@semivision_tw](/creator/x/semivision_tw) 2025-10-18T03:47Z 2250 followers, XXX engagements


"#Advantest (Japan) is the worlds leading supplier of Automated Test Equipment (ATE) holding roughly XX% of the global market share in 2023 with the combined share of Advantest and the U.S. player Teradyne reaching around 80%. The company offers a comprehensive product portfolio that spans SoC mixed-signal and memory testers system-level test (SLT) platforms test handlers and interface solutions all integrated with edge computing and data analytics capabilities"  
[X Link](https://x.com/semivision_tw/status/1956171239875133931) [@semivision_tw](/creator/x/semivision_tw) 2025-08-15T01:49Z 2154 followers, 1496 engagements


"🚨 Rapidus 2nm Update: Logic Density Breakthrough Japans Rapidus just unveiled progress on its 2nm 2HP process revealing logic density figures that put it neck-and-neck with TSMCs N2 node and significantly ahead of Intels 18A. TSMC still leads in SRAM density critical for cache-heavy AI and HPC workloads. Intel 18A while competitive on performance lags in density affecting chip size economics. Rapidus if it delivers on these numbers is showing it can compete at the cutting edge of logic density. But density is only the beginning volume manufacturing ecosystem maturity and unique"  
[X Link](https://x.com/semivision_tw/status/1962480238635680140) [@semivision_tw](/creator/x/semivision_tw) 2025-09-01T11:38Z 2128 followers, 2367 engagements


"Powertech Technology Inc. (PTI) stated that the company has been investing in FOPLP (Fan-Out Panel-Level Packaging) for many years and has co-developed technologies with multiple AI and networking chip customers. This year yield rates have surpassed the mass-production threshold marking the official entry of FOPLP into the commercialization stage. PTI also plans to further expand its investment in 2025 and establish large-scale FOPLP production lines in 2026. The company expects this to begin contributing meaningfully to revenue while forming a comprehensive technology portfolio alongside its"  
[X Link](https://x.com/semivision_tw/status/1972869653195370964) [@semivision_tw](/creator/x/semivision_tw) 2025-09-30T03:42Z 2204 followers, XXX engagements


"Future of AI Accelerators Ayar Labs and Alchip are teaming up to bring optics directly on-package for next-gen XPUs. Key highlights: X full-reticle AI accelerators X HBM stacks X Ayar Labs TeraPHY optical engines Integrated passive devices (IPD) for better signal integrity UCIe protocol converter chiplets for scale-up This collaboration blends high-bandwidth low-latency optical I/O with advanced packaging shaping the future of heterogeneous AI compute. #AI #Semiconductors #Optical #AdvancedPackaging"  
[X Link](https://x.com/semivision_tw/status/1972887967883800596) [@semivision_tw](/creator/x/semivision_tw) 2025-09-30T04:55Z 2171 followers, 8175 engagements


"Entering the AI and high-performance computing (HPC) era demand for advanced packaging platformsincluding 2.5D/3D packaging Hybrid Bonding and CoWoSis surging reshaping the back-end equipment landscape. This paper analyzes the core elements of both WFE and back-end packaging supply chains while exploring how equipment vendors are responding to the challenges of geopolitics and globalization"  
[X Link](https://x.com/semivision_tw/status/1974668376795430946) [@semivision_tw](/creator/x/semivision_tw) 2025-10-05T02:50Z 2205 followers, XXX engagements


"In front-end wafer manufacturing the sector is almost monopolized by five major playersASML Applied Materials Lam Research Tokyo Electron (TEL) and KLAon which foundries such as TSMC Samsung Intel and UMC are highly dependent. While U.S. and Dutch firms remain global leaders in lithography deposition and etching export controls and policies such as the CHIPS Act and the European Chips Act have compelled equipment suppliers to adjust their footprints establishing new production and service bases across North America Europe Japan and Southeast Asia to strengthen supply chain resilience."  
[X Link](https://x.com/semivision_tw/status/1975000805821341699) [@semivision_tw](/creator/x/semivision_tw) 2025-10-06T00:51Z 2213 followers, 1137 engagements


"WESEMiBAY 2025 #Semiconductor"  
[X Link](https://x.com/semivision_tw/status/1978296451647046002) [@semivision_tw](/creator/x/semivision_tw) 2025-10-15T03:06Z 2206 followers, XXX engagements


"At Computex 2025 (Taipei) #Fabric8Labs and #Wiwynn a leading Taiwanese server manufacturer jointly showcased ECAM cold plate applications for AI data centers at Taipei Nangang Exhibition Center. The demo combined Fabric8Labs advanced thermal structures with Wiwynns liquid-cooled rack solutions marking an important deployment signal for ECAM technology within Taiwans AI infrastructure ecosystem"  
[X Link](https://x.com/semivision_tw/status/1978822572704776477) [@semivision_tw](/creator/x/semivision_tw) 2025-10-16T13:57Z 2215 followers, XXX engagements


"♥It was a pleasure to participate in the Optical Chip Design & Packaging Technology Forum at WESEMiBAY in Shenzhen (2025/10/15-17). A big thank you to the organizers for the kind invitation. I hope everyone enjoyed SemiVision s sharing session #Semiconductor #Packaging #Photonics #OpticalChips #SemiVision #WESEMiBAY #Shenzhen"  
[X Link](https://x.com/semivision_tw/status/1978822931859026186) [@semivision_tw](/creator/x/semivision_tw) 2025-10-16T13:58Z 2129 followers, XXX engagements


"N2 is scheduled to begin mass production in Hsinchu Baoshan and Kaohsiung in 2H25 with Apple and AMD as the first wave of adopters. In addition N2P and N2X are expected to enter mass production in 2H26 and 2027 respectively"  
[X Link](https://x.com/semivision_tw/status/1979060214226727046) [@semivision_tw](/creator/x/semivision_tw) 2025-10-17T05:41Z 2206 followers, XXX engagements


"Unlike previous technology nodes where ramp-up was primarily driven by smartphones N2 will benefit from both mobile and HPC customers. MediaTek Qualcomm and major CSPs are also expected to gradually adopt the technology"  
[X Link](https://x.com/semivision_tw/status/1979060862154322049) [@semivision_tw](/creator/x/semivision_tw) 2025-10-17T05:44Z 2162 followers, XXX engagements


"NVIDIA DGX Spark The Personal AI Supercomputer launches on October XX Powered by the GB10 Superchip co-designed by MediaTek and NVIDIA the system integrates a 20-core Grace CPU Blackwell GPU and XXX GB of memory delivering up to X PFLOP of AI compute . It can run 200B-parameter large models locally and two units linked together can scale up to 405B parameters Compact and energy-efficient the DGX Spark fits neatly on a desk enabling developers to prototype fine-tune and run AI inference entirely on-premises. #MediaTek #NVIDIA #SparkSomethingBig #DGXSpark #GB10 #GraceBlackwell #Superchip"  
[X Link](https://x.com/semivision_tw/status/1979103639202599095) [@semivision_tw](/creator/x/semivision_tw) 2025-10-17T08:34Z 2206 followers, XXX engagements


"Bose speaker on the back"  
[X Link](https://x.com/semivision_tw/status/1979110534659346873) [@semivision_tw](/creator/x/semivision_tw) 2025-10-17T09:01Z 2206 followers, XXX engagements


"Currently #ASMLs revenue contribution from #EUV and #DUV isnt that far apart. While EUV systems are primarily ordered by #TSMC #Intel and #Samsung the urgency for High NA EUV isnt quite there yet. Intel and Samsungs 2nm mass production remains delayed and even CC admits theres no immediate need for High NA in the short term. On the other hand DUV demand is booming especially from China. Although the export of 1970i and above systems is restricted with resolution enhancement DUV can still handle 7nm. Chinese fabs are actively deploying 1965i systems and with sufficient overlay accuracy they"  
[X Link](https://x.com/semivision_tw/status/1946742557742121355) [@semivision_tw](/creator/x/semivision_tw) 2025-07-20T01:22Z 2246 followers, XXX engagements


"Resonac's Packaging Solution Center (PSC) is a leading global research and development site dedicated to advanced semiconductor packaging. It is located on the 3rd and 4th floors of the Resonac facility in AIRBIC Kawasaki City Japan with a total floor area of approximately 7757 m"  
[X Link](https://x.com/semivision_tw/status/1966294076879417558) [@semivision_tw](/creator/x/semivision_tw) 2025-09-12T00:13Z 2248 followers, XXX engagements


"Imec has joined forces with AIXTRON GlobalFoundries KLA Corporation Synopsys and Veeco to launch a XXX mm GaN Open Innovation Program. The initiative aims to develop XXX mm GaN epitaxy and process flows for both low- and high-voltage GaN high electron mobility transistors (HEMTs) with the goals of reducing manufacturing costs and enabling the development of more advanced power devices"  
[X Link](https://x.com/semivision_tw/status/1975733889282023637) [@semivision_tw](/creator/x/semivision_tw) 2025-10-08T01:24Z 2248 followers, XXX engagements


"Hybrid Bonding The Next Chapter of 3D Integration Hybrid bonding is quickly becoming the backbone of both advanced logic and HBM (stacked DRAM) architectures. This comparison from Applied Materials clearly shows how different bonding flows shape integration strategies across chiplets and memory stacks"  
[X Link](https://x.com/semivision_tw/status/1979477280683659340) [@semivision_tw](/creator/x/semivision_tw) 2025-10-18T09:18Z 2249 followers, 2427 engagements


"Taiwans Vice President Bi-khim Hsiao on Semiconductor Strategy and Global Partnerships In a recent interview with CBCs London correspondent Chris Brown Taiwan Vice President Bi-khim Hsiao discussed Taiwans role in global semiconductor supply chains its partnerships with the U.S. and Canada and the islands approach to national defense and cognitive warfare. When asked about U.S. officials urging Taiwan to relocate a large portionup to 50%of its chip manufacturing to the United States Hsiao confirmed that Taiwan has already committed to investments in the U.S. Not only TSMC but also other"  
[X Link](https://x.com/semivision_tw/status/1979509644415639925) [@semivision_tw](/creator/x/semivision_tw) 2025-10-18T11:27Z 2250 followers, XXX engagements


"Automakers are bracing for another potential chip supply disruption following Chinas retaliatory export controls on Nexperia a Netherlands-based semiconductor manufacturer. The move comes amid escalating trade tensions between the U.S. and China and could trigger a chain reaction across the automotive industry potentially forcing some production lines to halt. According to sources Nexperia informed its customers last week to suspend shipments. In letters seen by Bloomberg the company notified clients and partners that Chinas new export restrictions constituted a force majeure eventmeaning it"  
[X Link](https://x.com/semivision_tw/status/1979548969052315897) [@semivision_tw](/creator/x/semivision_tw) 2025-10-18T14:03Z 2248 followers, XXX engagements


"🔗 Heterogeneous Integration: The Interconnect Revolution SemiVision: How interconnect innovation defines the future of semiconductor scalingbeyond transistor nodes. From sub-100nm 3D sequential stacking to fan-out and die-level packaging each layer of integration reflects a different balance of pitch power performance area cost and scalability (PPAC+S). As chiplets optical I/O and quantum modules emerge the interconnect landscape now stretches from nanometers to millimeters from device to system. This continuum of innovation is what will power the next decade of AI HPC and beyond."  
[X Link](https://x.com/semivision_tw/status/1979590528917516531) [@semivision_tw](/creator/x/semivision_tw) 2025-10-18T16:48Z 2248 followers, XXX engagements


"A 30-Year Journey of PlayStation Processors From LSI to AMD + TSMC Since its debut in 1994 PlayStation has evolved into a global platform and its internal processors tell a remarkable story of semiconductor innovation. From LSI Logic (USA) powering the original PS1 to Toshiba and Sony for PS2 and then the IBM + NVIDIA duo in PS3 every generation has reflected major shifts in global semiconductor collaboration. The PS4 and PS5 generations mark the transition to AMDs CPU-GPU integration (APU) with fabrication moving to TSMCs 28nm 7nm nodesshowing how advanced packaging and foundry technology"  
[X Link](https://x.com/semivision_tw/status/1979740647126090031) [@semivision_tw](/creator/x/semivision_tw) 2025-10-19T02:45Z 2250 followers, 1910 engagements


"TSMCs 3Q25 revenue breakdown reveals an interesting shift: HPC (High-Performance Computing) still dominates at XX% of total sales yet its QoQ growth is flat (0%) and thats not a sign of weakness"  
[X Link](https://x.com/semivision_tw/status/1979769738495693117) [@semivision_tw](/creator/x/semivision_tw) 2025-10-19T04:41Z 2249 followers, XXX engagements


"Heres whats really happening 👇 X High base effect: Most AI chip shipments (B200 MI350 Broadcom ASICs) surged in 2Q25. That quarter set an exceptionally high baseline so 3Q naturally flattened. X Process transition: TSMC is reallocating capacity from N3E to N2 ramp-up (for Apple AMD and others). During this hand-off wafer volumes stay high but revenue recognition pauses. X Mixed customer momentum: NVIDIA and AMD shipments remain solid but AI ASIC and FPGA demand softened. Enterprise AI servers paused orders after front-loaded procurement. X Category rotation: Smartphone (+19%) and IoT (+20%)"  
[X Link](https://x.com/semivision_tw/status/1979769881517269501) [@semivision_tw](/creator/x/semivision_tw) 2025-10-19T04:41Z 2248 followers, XXX engagements


"AI Package Size Keeps Growing with HBM Stacks As AI compute density increases package sizes are scaling up to accommodate more HBM stacks and larger interposers. Here are some examples from TechSearch International (2025): AWS Trainium2: XXXX XXXX mm TSMC CoWoS-R AMD Instinct MI350: XXXX XX mm (8-2-8) TSMC CoWoS-S (SoIC stacked chiplets) Broadcom 3.5D XDSiP: XXX XXX mm TSMC CoWoS-L (SoIC stacked chiplets) Microsoft Azure Maia 100: XX XX mm (8-2-8) TSMC CoWoS-S NVIDIA Blackwell: XX XX mm (6-2-6) TSMC CoWoS-L NVIDIA Rubin: XXX XXX mm (8-2-8) TSMC CoWoS-L Future AI accelerators will require"  
[X Link](https://x.com/semivision_tw/status/1980178302179656101) [@semivision_tw](/creator/x/semivision_tw) 2025-10-20T07:44Z 2250 followers, XXX engagements


"AI Package Size Keeps Growing with HBM Stacks As AI compute density increases package sizes are scaling up to accommodate more HBM stacks and larger interposers. Here are some examples from TechSearch International (2025): AWS Trainium2: XXXX XXXX mm TSMC CoWoS-R AMD Instinct MI350: XXXX XX mm (8-2-8) TSMC CoWoS-S Broadcom 3.5D XDSiP: XXX XXX mm TSMC CoWoS-L Microsoft Azure Maia 100: XX XX mm (8-2-8) TSMC CoWoS-S NVIDIA Blackwell: XX XX mm (6-2-6) TSMC CoWoS-L NVIDIA Rubin: XXX XXX mm (8-2-8) TSMC CoWoS-L Future AI accelerators will require larger and more complex packaging architectures"  
[X Link](https://x.com/semivision_tw/status/1980179582168019414) [@semivision_tw](/creator/x/semivision_tw) 2025-10-20T07:49Z 2250 followers, XXX engagements


"Advantest Leading the AI Testing Wave: Earning the Title of the ASML of the Test Industry"  
[X Link](https://x.com/semivision_tw/status/1970325899305504944) [@semivision_tw](/creator/x/semivision_tw) 2025-09-23T03:14Z 2248 followers, XXX engagements


"Following Perplexitys global rollout of its AI browser Cometoriginally priced at $200for free including access to its core features Alphabet Inc. ($GOOGL) the parent company of Google saw its stock price drop by approximately XXX% wiping out billions of dollars in market value"  
[X Link](https://x.com/semivision_tw/status/1974268307521122348) [@semivision_tw](/creator/x/semivision_tw) 2025-10-04T00:20Z 2248 followers, XXX engagements


"Broadcoms CPO (Co-Packaged Optics) Manufacturing Assembly Flow clearly illustrates the complexity and precision required to bring optical engines and ASICs together at the package level from known good die to rack-level integration. As the industry moves toward co-packaged architectures to break through bandwidth and power bottlenecks such end-to-end integration flows are becoming foundational for next-generation AI data centers. #CPO #CoPackagedOptics #Broadcom #OpticalInterconnect #AdvancedPackaging #AIInfrastructure #Semiconductor #Photonics #SystemIntegration #SemiVision"  
[X Link](https://x.com/semivision_tw/status/1978844315989528686) [@semivision_tw](/creator/x/semivision_tw) 2025-10-16T15:23Z 2248 followers, XXX engagements


"NVIDIA CEO Jensen Huang joined Y.L. Wang TSMC vice president of operations and Ray Chuang CEO of TSMC Arizona in Phoenix today to celebrate the first NVIDIA Blackwell wafer produced in the United States. This achievement marks a huge step forward in paving the way for sustained American leadership in AI. Onshoring world-class chipmaking to American soil is crucial to meeting the growing demand for AI. Within the next four years NVIDIA plans to produce up to one-half-trillion dollars of AI infrastructure in the U.S. collaborating with TSMC Foxconn Wistron Amkor and SPIL. Manufacturing the"  
[X Link](https://x.com/semivision_tw/status/1979379061597389080) [@semivision_tw](/creator/x/semivision_tw) 2025-10-18T02:48Z 2248 followers, XXX engagements


"Credo and the Explosive Potential of Smart Cables in the AI Era Imagine this: in the past a single server required just one data cable. Now with the rapid scale-up of AI computing a single GPU server may need up to nine high-speed connections. This shift creates an enormous market opportunity for Credos HiWire product line especially its Active Electrical Cables (AECs) which you can think of as smart copper cables with built-in accelerator chips"  
[X Link](https://x.com/semivision_tw/status/1979744787738870086) [@semivision_tw](/creator/x/semivision_tw) 2025-10-19T03:01Z 2250 followers, 84.2K engagements


"Package Size and Warpage As the number of HBM stacks increases package sizes are expanding leading to mechanical warpage issues. Efforts to control warpage include: Exploring new core materials such as glass silicon and ceramics R&D from companies like Ajinomoto Kinsus Applied Materials Resonac Nitto Denko etc. Using impregnated dielectric layers with glass cloth or glass fiber composites Developing low-melting-point solders for die-to-substrate or substrate-to-PCB attachment (R&D at Resonac and the JOINT2 consortium)"  
[X Link](https://x.com/semivision_tw/status/1980182219080819179) [@semivision_tw](/creator/x/semivision_tw) 2025-10-20T08:00Z 2250 followers, XXX engagements


"Are you finding that a lot of apps arent working properly right now Dont worry its not your fault. Earlier today the AWS US-East node went down taking out nearly half the internets software services (except for those running on Google Meta Oracle or Akamai servers). Basically more than half of all SaaS services online are currently unavailable and most people in offices are at a complete standstill. Sigh humanity is really fragile lol. People on X are already saying this outage might break world records in scale. Reflection: When the worlds largest cloud provider goes down how is society"  
[X Link](https://x.com/semivision_tw/status/1980193096517497301) [@semivision_tw](/creator/x/semivision_tw) 2025-10-20T08:43Z 2249 followers, XXX engagements

[GUEST ACCESS MODE: Data is scrambled or limited to provide examples. Make requests using your API key to unlock full data. Check https://lunarcrush.ai/auth for authentication information.]

@semivision_tw "Hybrid Bonding at Scale: BESIs Vision and Industry Evolution in 3D Integration"
X Link @semivision_tw 2025-10-09T01:50Z 2249 followers, XXX engagements

"#Advantest Leading the AI Testing Wave: Earning the Title of the ASML of the Test Industry"
X Link @semivision_tw 2025-08-10T13:38Z 2249 followers, XXX engagements

"🟡SemiVision : IC testing giant #KYEC announced today (Sep 5th 2025) that it will spend NT$2.132 billion to purchase 12000 sets of equipment from Advantest. Benefiting recently from rising testing demand for #NVIDIA AI chips KYEC is actively expanding capacity with this equipment purchase aimed at fulfilling customer orders"
X Link @semivision_tw 2025-09-06T00:58Z 2249 followers, 12.6K engagements

"Powering the Next Generation of AI Chips Besi Applied Materials Besi Switzerland AG has announced its collaboration with Applied Materials on the newly introduced Kinex Bonding System the industrys first fully integrated die-to-wafer hybrid bonder. As AI and high-performance computing chips grow increasingly complex the demand for tighter integration higher accuracy and better process efficiency continues to rise"
X Link @semivision_tw 2025-10-09T01:51Z 2249 followers, XX engagements

"Jensen Huang was visiting TSMCs Arizona Fab XX to celebrate the birth of the first U.S.-made NVIDIA Blackwell chips. As Huang remarked This is a historic moment. To witness this milestone firsthand was truly moving a defining chapter in semiconductor history. Picture from Threads"
X Link @semivision_tw 2025-10-18T03:47Z 2250 followers, XXX engagements

"#Advantest (Japan) is the worlds leading supplier of Automated Test Equipment (ATE) holding roughly XX% of the global market share in 2023 with the combined share of Advantest and the U.S. player Teradyne reaching around 80%. The company offers a comprehensive product portfolio that spans SoC mixed-signal and memory testers system-level test (SLT) platforms test handlers and interface solutions all integrated with edge computing and data analytics capabilities"
X Link @semivision_tw 2025-08-15T01:49Z 2154 followers, 1496 engagements

"🚨 Rapidus 2nm Update: Logic Density Breakthrough Japans Rapidus just unveiled progress on its 2nm 2HP process revealing logic density figures that put it neck-and-neck with TSMCs N2 node and significantly ahead of Intels 18A. TSMC still leads in SRAM density critical for cache-heavy AI and HPC workloads. Intel 18A while competitive on performance lags in density affecting chip size economics. Rapidus if it delivers on these numbers is showing it can compete at the cutting edge of logic density. But density is only the beginning volume manufacturing ecosystem maturity and unique"
X Link @semivision_tw 2025-09-01T11:38Z 2128 followers, 2367 engagements

"Powertech Technology Inc. (PTI) stated that the company has been investing in FOPLP (Fan-Out Panel-Level Packaging) for many years and has co-developed technologies with multiple AI and networking chip customers. This year yield rates have surpassed the mass-production threshold marking the official entry of FOPLP into the commercialization stage. PTI also plans to further expand its investment in 2025 and establish large-scale FOPLP production lines in 2026. The company expects this to begin contributing meaningfully to revenue while forming a comprehensive technology portfolio alongside its"
X Link @semivision_tw 2025-09-30T03:42Z 2204 followers, XXX engagements

"Future of AI Accelerators Ayar Labs and Alchip are teaming up to bring optics directly on-package for next-gen XPUs. Key highlights: X full-reticle AI accelerators X HBM stacks X Ayar Labs TeraPHY optical engines Integrated passive devices (IPD) for better signal integrity UCIe protocol converter chiplets for scale-up This collaboration blends high-bandwidth low-latency optical I/O with advanced packaging shaping the future of heterogeneous AI compute. #AI #Semiconductors #Optical #AdvancedPackaging"
X Link @semivision_tw 2025-09-30T04:55Z 2171 followers, 8175 engagements

"Entering the AI and high-performance computing (HPC) era demand for advanced packaging platformsincluding 2.5D/3D packaging Hybrid Bonding and CoWoSis surging reshaping the back-end equipment landscape. This paper analyzes the core elements of both WFE and back-end packaging supply chains while exploring how equipment vendors are responding to the challenges of geopolitics and globalization"
X Link @semivision_tw 2025-10-05T02:50Z 2205 followers, XXX engagements

"In front-end wafer manufacturing the sector is almost monopolized by five major playersASML Applied Materials Lam Research Tokyo Electron (TEL) and KLAon which foundries such as TSMC Samsung Intel and UMC are highly dependent. While U.S. and Dutch firms remain global leaders in lithography deposition and etching export controls and policies such as the CHIPS Act and the European Chips Act have compelled equipment suppliers to adjust their footprints establishing new production and service bases across North America Europe Japan and Southeast Asia to strengthen supply chain resilience."
X Link @semivision_tw 2025-10-06T00:51Z 2213 followers, 1137 engagements

"WESEMiBAY 2025 #Semiconductor"
X Link @semivision_tw 2025-10-15T03:06Z 2206 followers, XXX engagements

"At Computex 2025 (Taipei) #Fabric8Labs and #Wiwynn a leading Taiwanese server manufacturer jointly showcased ECAM cold plate applications for AI data centers at Taipei Nangang Exhibition Center. The demo combined Fabric8Labs advanced thermal structures with Wiwynns liquid-cooled rack solutions marking an important deployment signal for ECAM technology within Taiwans AI infrastructure ecosystem"
X Link @semivision_tw 2025-10-16T13:57Z 2215 followers, XXX engagements

"♥It was a pleasure to participate in the Optical Chip Design & Packaging Technology Forum at WESEMiBAY in Shenzhen (2025/10/15-17). A big thank you to the organizers for the kind invitation. I hope everyone enjoyed SemiVision s sharing session #Semiconductor #Packaging #Photonics #OpticalChips #SemiVision #WESEMiBAY #Shenzhen"
X Link @semivision_tw 2025-10-16T13:58Z 2129 followers, XXX engagements

"N2 is scheduled to begin mass production in Hsinchu Baoshan and Kaohsiung in 2H25 with Apple and AMD as the first wave of adopters. In addition N2P and N2X are expected to enter mass production in 2H26 and 2027 respectively"
X Link @semivision_tw 2025-10-17T05:41Z 2206 followers, XXX engagements

"Unlike previous technology nodes where ramp-up was primarily driven by smartphones N2 will benefit from both mobile and HPC customers. MediaTek Qualcomm and major CSPs are also expected to gradually adopt the technology"
X Link @semivision_tw 2025-10-17T05:44Z 2162 followers, XXX engagements

"NVIDIA DGX Spark The Personal AI Supercomputer launches on October XX Powered by the GB10 Superchip co-designed by MediaTek and NVIDIA the system integrates a 20-core Grace CPU Blackwell GPU and XXX GB of memory delivering up to X PFLOP of AI compute . It can run 200B-parameter large models locally and two units linked together can scale up to 405B parameters Compact and energy-efficient the DGX Spark fits neatly on a desk enabling developers to prototype fine-tune and run AI inference entirely on-premises. #MediaTek #NVIDIA #SparkSomethingBig #DGXSpark #GB10 #GraceBlackwell #Superchip"
X Link @semivision_tw 2025-10-17T08:34Z 2206 followers, XXX engagements

"Bose speaker on the back"
X Link @semivision_tw 2025-10-17T09:01Z 2206 followers, XXX engagements

"Currently #ASMLs revenue contribution from #EUV and #DUV isnt that far apart. While EUV systems are primarily ordered by #TSMC #Intel and #Samsung the urgency for High NA EUV isnt quite there yet. Intel and Samsungs 2nm mass production remains delayed and even CC admits theres no immediate need for High NA in the short term. On the other hand DUV demand is booming especially from China. Although the export of 1970i and above systems is restricted with resolution enhancement DUV can still handle 7nm. Chinese fabs are actively deploying 1965i systems and with sufficient overlay accuracy they"
X Link @semivision_tw 2025-07-20T01:22Z 2246 followers, XXX engagements

"Resonac's Packaging Solution Center (PSC) is a leading global research and development site dedicated to advanced semiconductor packaging. It is located on the 3rd and 4th floors of the Resonac facility in AIRBIC Kawasaki City Japan with a total floor area of approximately 7757 m"
X Link @semivision_tw 2025-09-12T00:13Z 2248 followers, XXX engagements

"Imec has joined forces with AIXTRON GlobalFoundries KLA Corporation Synopsys and Veeco to launch a XXX mm GaN Open Innovation Program. The initiative aims to develop XXX mm GaN epitaxy and process flows for both low- and high-voltage GaN high electron mobility transistors (HEMTs) with the goals of reducing manufacturing costs and enabling the development of more advanced power devices"
X Link @semivision_tw 2025-10-08T01:24Z 2248 followers, XXX engagements

"Hybrid Bonding The Next Chapter of 3D Integration Hybrid bonding is quickly becoming the backbone of both advanced logic and HBM (stacked DRAM) architectures. This comparison from Applied Materials clearly shows how different bonding flows shape integration strategies across chiplets and memory stacks"
X Link @semivision_tw 2025-10-18T09:18Z 2249 followers, 2427 engagements

"Taiwans Vice President Bi-khim Hsiao on Semiconductor Strategy and Global Partnerships In a recent interview with CBCs London correspondent Chris Brown Taiwan Vice President Bi-khim Hsiao discussed Taiwans role in global semiconductor supply chains its partnerships with the U.S. and Canada and the islands approach to national defense and cognitive warfare. When asked about U.S. officials urging Taiwan to relocate a large portionup to 50%of its chip manufacturing to the United States Hsiao confirmed that Taiwan has already committed to investments in the U.S. Not only TSMC but also other"
X Link @semivision_tw 2025-10-18T11:27Z 2250 followers, XXX engagements

"Automakers are bracing for another potential chip supply disruption following Chinas retaliatory export controls on Nexperia a Netherlands-based semiconductor manufacturer. The move comes amid escalating trade tensions between the U.S. and China and could trigger a chain reaction across the automotive industry potentially forcing some production lines to halt. According to sources Nexperia informed its customers last week to suspend shipments. In letters seen by Bloomberg the company notified clients and partners that Chinas new export restrictions constituted a force majeure eventmeaning it"
X Link @semivision_tw 2025-10-18T14:03Z 2248 followers, XXX engagements

"🔗 Heterogeneous Integration: The Interconnect Revolution SemiVision: How interconnect innovation defines the future of semiconductor scalingbeyond transistor nodes. From sub-100nm 3D sequential stacking to fan-out and die-level packaging each layer of integration reflects a different balance of pitch power performance area cost and scalability (PPAC+S). As chiplets optical I/O and quantum modules emerge the interconnect landscape now stretches from nanometers to millimeters from device to system. This continuum of innovation is what will power the next decade of AI HPC and beyond."
X Link @semivision_tw 2025-10-18T16:48Z 2248 followers, XXX engagements

"A 30-Year Journey of PlayStation Processors From LSI to AMD + TSMC Since its debut in 1994 PlayStation has evolved into a global platform and its internal processors tell a remarkable story of semiconductor innovation. From LSI Logic (USA) powering the original PS1 to Toshiba and Sony for PS2 and then the IBM + NVIDIA duo in PS3 every generation has reflected major shifts in global semiconductor collaboration. The PS4 and PS5 generations mark the transition to AMDs CPU-GPU integration (APU) with fabrication moving to TSMCs 28nm 7nm nodesshowing how advanced packaging and foundry technology"
X Link @semivision_tw 2025-10-19T02:45Z 2250 followers, 1910 engagements

"TSMCs 3Q25 revenue breakdown reveals an interesting shift: HPC (High-Performance Computing) still dominates at XX% of total sales yet its QoQ growth is flat (0%) and thats not a sign of weakness"
X Link @semivision_tw 2025-10-19T04:41Z 2249 followers, XXX engagements

"Heres whats really happening 👇 X High base effect: Most AI chip shipments (B200 MI350 Broadcom ASICs) surged in 2Q25. That quarter set an exceptionally high baseline so 3Q naturally flattened. X Process transition: TSMC is reallocating capacity from N3E to N2 ramp-up (for Apple AMD and others). During this hand-off wafer volumes stay high but revenue recognition pauses. X Mixed customer momentum: NVIDIA and AMD shipments remain solid but AI ASIC and FPGA demand softened. Enterprise AI servers paused orders after front-loaded procurement. X Category rotation: Smartphone (+19%) and IoT (+20%)"
X Link @semivision_tw 2025-10-19T04:41Z 2248 followers, XXX engagements

"AI Package Size Keeps Growing with HBM Stacks As AI compute density increases package sizes are scaling up to accommodate more HBM stacks and larger interposers. Here are some examples from TechSearch International (2025): AWS Trainium2: XXXX XXXX mm TSMC CoWoS-R AMD Instinct MI350: XXXX XX mm (8-2-8) TSMC CoWoS-S (SoIC stacked chiplets) Broadcom 3.5D XDSiP: XXX XXX mm TSMC CoWoS-L (SoIC stacked chiplets) Microsoft Azure Maia 100: XX XX mm (8-2-8) TSMC CoWoS-S NVIDIA Blackwell: XX XX mm (6-2-6) TSMC CoWoS-L NVIDIA Rubin: XXX XXX mm (8-2-8) TSMC CoWoS-L Future AI accelerators will require"
X Link @semivision_tw 2025-10-20T07:44Z 2250 followers, XXX engagements

"AI Package Size Keeps Growing with HBM Stacks As AI compute density increases package sizes are scaling up to accommodate more HBM stacks and larger interposers. Here are some examples from TechSearch International (2025): AWS Trainium2: XXXX XXXX mm TSMC CoWoS-R AMD Instinct MI350: XXXX XX mm (8-2-8) TSMC CoWoS-S Broadcom 3.5D XDSiP: XXX XXX mm TSMC CoWoS-L Microsoft Azure Maia 100: XX XX mm (8-2-8) TSMC CoWoS-S NVIDIA Blackwell: XX XX mm (6-2-6) TSMC CoWoS-L NVIDIA Rubin: XXX XXX mm (8-2-8) TSMC CoWoS-L Future AI accelerators will require larger and more complex packaging architectures"
X Link @semivision_tw 2025-10-20T07:49Z 2250 followers, XXX engagements

"Advantest Leading the AI Testing Wave: Earning the Title of the ASML of the Test Industry"
X Link @semivision_tw 2025-09-23T03:14Z 2248 followers, XXX engagements

"Following Perplexitys global rollout of its AI browser Cometoriginally priced at $200for free including access to its core features Alphabet Inc. ($GOOGL) the parent company of Google saw its stock price drop by approximately XXX% wiping out billions of dollars in market value"
X Link @semivision_tw 2025-10-04T00:20Z 2248 followers, XXX engagements

"Broadcoms CPO (Co-Packaged Optics) Manufacturing Assembly Flow clearly illustrates the complexity and precision required to bring optical engines and ASICs together at the package level from known good die to rack-level integration. As the industry moves toward co-packaged architectures to break through bandwidth and power bottlenecks such end-to-end integration flows are becoming foundational for next-generation AI data centers. #CPO #CoPackagedOptics #Broadcom #OpticalInterconnect #AdvancedPackaging #AIInfrastructure #Semiconductor #Photonics #SystemIntegration #SemiVision"
X Link @semivision_tw 2025-10-16T15:23Z 2248 followers, XXX engagements

"NVIDIA CEO Jensen Huang joined Y.L. Wang TSMC vice president of operations and Ray Chuang CEO of TSMC Arizona in Phoenix today to celebrate the first NVIDIA Blackwell wafer produced in the United States. This achievement marks a huge step forward in paving the way for sustained American leadership in AI. Onshoring world-class chipmaking to American soil is crucial to meeting the growing demand for AI. Within the next four years NVIDIA plans to produce up to one-half-trillion dollars of AI infrastructure in the U.S. collaborating with TSMC Foxconn Wistron Amkor and SPIL. Manufacturing the"
X Link @semivision_tw 2025-10-18T02:48Z 2248 followers, XXX engagements

"Credo and the Explosive Potential of Smart Cables in the AI Era Imagine this: in the past a single server required just one data cable. Now with the rapid scale-up of AI computing a single GPU server may need up to nine high-speed connections. This shift creates an enormous market opportunity for Credos HiWire product line especially its Active Electrical Cables (AECs) which you can think of as smart copper cables with built-in accelerator chips"
X Link @semivision_tw 2025-10-19T03:01Z 2250 followers, 84.2K engagements

"Package Size and Warpage As the number of HBM stacks increases package sizes are expanding leading to mechanical warpage issues. Efforts to control warpage include: Exploring new core materials such as glass silicon and ceramics R&D from companies like Ajinomoto Kinsus Applied Materials Resonac Nitto Denko etc. Using impregnated dielectric layers with glass cloth or glass fiber composites Developing low-melting-point solders for die-to-substrate or substrate-to-PCB attachment (R&D at Resonac and the JOINT2 consortium)"
X Link @semivision_tw 2025-10-20T08:00Z 2250 followers, XXX engagements

"Are you finding that a lot of apps arent working properly right now Dont worry its not your fault. Earlier today the AWS US-East node went down taking out nearly half the internets software services (except for those running on Google Meta Oracle or Akamai servers). Basically more than half of all SaaS services online are currently unavailable and most people in offices are at a complete standstill. Sigh humanity is really fragile lol. People on X are already saying this outage might break world records in scale. Reflection: When the worlds largest cloud provider goes down how is society"
X Link @semivision_tw 2025-10-20T08:43Z 2249 followers, XXX engagements

creator/twitter::1881240196756504576/posts
/creator/twitter::1881240196756504576/posts