Dark | Light
[GUEST ACCESS MODE: Data is scrambled or limited to provide examples. Make requests using your API key to unlock full data. Check https://lunarcrush.ai/auth for authentication information.]

# ![@dnystedt Avatar](https://lunarcrush.com/gi/w:26/cr:twitter::45483286.png) @dnystedt Dan Nystedt

Nvidia CEO Jensen Huang recently visited Taiwan, highlighting strong demand for AI-related chips and expressing his respect for TSMC and other partners. He also mentioned that Nvidia is working with various Taiwanese companies, including Foxconn, Quanta, and Wistron, to meet the surging demand for AI servers. TSMC is investing heavily in new technologies, including a 1.4nm semiconductor fab, and has seen significant growth in export orders.

### Engagements: XXXXXX [#](/creator/twitter::45483286/interactions)
![Engagements Line Chart](https://lunarcrush.com/gi/w:600/cr:twitter::45483286/c:line/m:interactions.svg)

- X Week XXXXXXX -XX%
- X Month XXXXXXXXX +75%
- X Months XXXXXXXXX -XX%
- X Year XXXXXXXXXX -XX%

### Mentions: XX [#](/creator/twitter::45483286/posts_active)
![Mentions Line Chart](https://lunarcrush.com/gi/w:600/cr:twitter::45483286/c:line/m:posts_active.svg)

- X Week XXX -XX%
- X Month XXX -XX%
- X Months XXXXX +21%
- X Year XXXXX +18%

### Followers: XXXXXX [#](/creator/twitter::45483286/followers)
![Followers Line Chart](https://lunarcrush.com/gi/w:600/cr:twitter::45483286/c:line/m:followers.svg)

- X Week XXXXXX +0.78%
- X Month XXXXXX +3.20%
- X Months XXXXXX +12%
- X Year XXXXXX +38%

### CreatorRank: XXXXXXX [#](/creator/twitter::45483286/influencer_rank)
![CreatorRank Line Chart](https://lunarcrush.com/gi/w:600/cr:twitter::45483286/c:line/m:influencer_rank.svg)

### Social Influence

**Social category influence**
[stocks](/list/stocks)  #3324 [technology brands](/list/technology-brands)  XXXXX% [countries](/list/countries)  XXXXX% [finance](/list/finance)  XXXX% [currencies](/list/currencies)  XXXX% [cryptocurrencies](/list/cryptocurrencies)  XXXX% [fashion brands](/list/fashion-brands)  XXXX% [products](/list/products)  XXXX% [exchanges](/list/exchanges)  XXXX% [travel destinations](/list/travel-destinations)  XXXX%

**Social topic influence**
[ai](/topic/ai) #5420, [$nvda](/topic/$nvda) #111, [taiwan](/topic/taiwan) #693, [$tsm](/topic/$tsm) #7, [$asx](/topic/$asx) #1, [$avgo](/topic/$avgo) #18, [nvda](/topic/nvda) #663, [ceo](/topic/ceo) #2362, [strong](/topic/strong) 7.64%, [$googl](/topic/$googl) #776

**Top accounts mentioned or mentioned by**
[@zackeiseman](/creator/undefined) [@jukan05](/creator/undefined) [@bullmeechum3](/creator/undefined) [@grok](/creator/undefined) [@cobinstrietelm1](/creator/undefined) [@william_r2rclub](/creator/undefined) [@middatyildiz](/creator/undefined) [@diamhanz](/creator/undefined) [@rybar_pacific](/creator/undefined) [@ascrmmc](/creator/undefined) [@alex_intel_](/creator/undefined) [@gohlip](/creator/undefined) [@rwang07](/creator/undefined) [@elonmusk](/creator/undefined) [@omercheeema](/creator/undefined) [@edwinvanderberg](/creator/undefined) [@cobus27](/creator/undefined) [@kakashiii111](/creator/undefined) [@antithetoscptl](/creator/undefined) [@tshirtnjeans2](/creator/undefined)

**Top assets mentioned**
[NVIDIA Corp. (NVDA)](/topic/$nvda) [Taiwan Semiconductor (TSM)](/topic/$tsm) [ASE Technology Holding Co., Ltd. (ASX)](/topic/$asx) [Broadcom, Inc. (AVGO)](/topic/$avgo) [Alphabet Inc Class A (GOOGL)](/topic/$googl) [Advanced Micro Devices (AMD)](/topic/$amd) [Micron Technology, Inc. (MU)](/topic/$mu) [Intel Corporation (INTC)](/topic/$intc) [Amkor Technology Inc (AMKR)](/topic/$amkr) [Metadium (META)](/topic/$meta) [Applied Materials, Inc. (AMAT)](/topic/$amat) [KLA Corp (KLAC)](/topic/$klac) [Apple, Inc. (AAPL)](/topic/$aapl) [United Microelectronic Corp. (UMC)](/topic/$umc) [Microsoft Corp. (MSFT)](/topic/$msft) [Amazon.com, Inc. (AMZN)](/topic/$amzn) [Lam Research Corporation (LRCX)](/topic/$lrcx) [QUALCOMM, Inc. (QCOM)](/topic/$qcom) [Marvell Technology Inc (MRVL)](/topic/$mrvl) [Dell Technologies, Inc. (DELL)](/topic/dell) [Sandisk Corporation Common Stock (SNDK)](/topic/$sndk) [Tesla, Inc. (TSLA)](/topic/$tsla) [Astera Labs, Inc. Common Stock (ALAB)](/topic/$alab) [Synopsys, Inc. (SNPS)](/topic/$snps) [Axion (AXN)](/topic/axion)
### Top Social Posts
Top posts by engagements in the last XX hours

"2/2 Other media outlets said Nvidias CEO has won over the Trump administration with arguments for flexibility on export controls and that the administration appears supportive of possible Nvidia H200 chip sales to China. $NVDA"  
[X Link](https://x.com/dnystedt/status/1996766165243515368)  2025-12-05T02:18Z 40.7K followers, 2549 engagements


"Foxconn (Hon Hai):"  
[X Link](https://x.com/dnystedt/status/1996863972566618550)  2025-12-05T08:47Z 40.8K followers, 2118 engagements


"Nvidia GTC 2026 will be held March 16-19 in San Jose CA with CEO Jensen Huang expected to deliver a keynote highlighting its upcoming Vera Rubin AI chip platform media report and also give more details about Feynman the next AI chip architecture. $NVDA $AMD $INTC #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1997824815026303340)  2025-12-08T00:25Z 40.8K followers, 32.1K engagements


"UMC will work with US chip foundry Polar Semiconductor on the production of chips for the US market made on older 8-inch wafers as the US continues to onshore more chip production media report adding the firms will work to deliver chips for automotive data centers consumer electronics and aerospace/defense together produced at Polars fab in Minnesota. $UMC #Polar #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1996765845947928807)  2025-12-05T02:17Z 40.8K followers, 9445 engagements


"Three top AI server makers Wistron Quanta and Wiwynn all reported record high monthly sales for November media report as shipments of servers based on Nvidia Blackwell Ultra Google TPUs AWS Trainium chips etc. ramp up: -Wistron NT$280.6 billion (US$9.2 billion) up XXX% year-on-year and XXXX% vs Oct. -Quanta NT$192.9 billion up XXXX% yoy and XXXX% vs Oct. -Wiwynn NT$96.9 billion up XXX% yoy and XXX% vs Oct. $NVDA $GOOGL $AMZN"  
[X Link](https://x.com/dnystedt/status/1998195164880535949)  2025-12-09T00:57Z 40.8K followers, 83K engagements


"AMD is seeking more CoWoS-like advanced packaging for its AI chips media report saying rumors put Powertech Technology at the top of the list of potential partners with a deal already signed but that ASE Amkor could also win out. Powertech declined to comment. $AMD $ASX $AMKR"  
[X Link](https://x.com/dnystedt/status/1742366334607089687)  2024-01-03T02:04Z 40.7K followers, 23.1K engagements


"Nvidia has placed fresh orders to TSMC for more Blackwell platform chips including GB200 and B-series (100/200) as demand for the AI chips outpaces supply media report while fresh advanced chip testing orders go to KYEC and advanced packaging & testing to ASE Technology with such orders seen doubling in the 4th quarter over the 3rd. KYEC has been overwhelmed with Nvidia orders going all out to expand capacity one unnamed source said while industry insiders say TSMCs advanced chip output has already expanded significantly and demand for its CoWoS advanced packaging will expand at a similar"  
[X Link](https://x.com/dnystedt/status/1805044805036339478)  2024-06-24T01:06Z 40.6K followers, 144.2K engagements


"Two Taiwan AI server makers Wiwynn and Gigabyte confirmed more details about the Nvidia chip delay according to media reports. Wiwynn CFO Harry Chen is quoted saying the design and heat dissipation problems have led to delays but that the impact is insignificant and that demand for AI servers overall and Blackwell servers in particular remain strong. The company no longer expects AI servers to make up XX% of revenue in the 4th quarter due to the delays. 1/5 $NVDA $TSM $SMCI $DELL #semiconductors"  
[X Link](https://x.com/dnystedt/status/1824412752196338088)  2024-08-16T11:47Z 40.6K followers, 36.5K engagements


"Microsoft said its custom AI chip Maia is already in use on Azure OpenAI and it plans to launch X more custom AI chips media report adding TSMC will likely manufacture the chips while contract chip designer Global Unichip will likely win some engineering work on the chips. 1/2 $MSFT $TSM #GUC #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1859408097133101464)  2024-11-21T01:26Z 40.8K followers, 12.1K engagements


"Nvidia CEO Jensen Huang for the 1st time named several supply chain partners in Taiwan media report including semiconductor packaging and testing firms SPIL (Siliconware Precision a subsidiary of ASE Technology) and testing firm KYEC (King Yuan Electronics Co.) in addition to TSMC and AI server and systems makers Foxconn Quanta Computer Wiwynn. $TSM $NVDA $ASX $HXSCL $MU #semiconductor #semiconductors"  
[X Link](https://x.com/dnystedt/status/1859781759426252849)  2024-11-22T02:11Z 40.7K followers, 35.2K engagements


"Rumor: Nvidia has approached a number of Taiwan machine tool and key component makers to join its humanoid robot supply chain including Hota Industrial Chieftek Hiwin media report citing unnamed industry sources . Nvidia will also reportedly set up a robot assembly base in Taiwan. $NVDA #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1863412286062567751)  2024-12-02T02:38Z 40.8K followers, 96.3K engagements


"TSMC may be able to double CoWoS advanced packaging capacity to 75000 wafers-per-month by mid-2025 around 6-months earlier than expected by converting plants bought from display maker Innolux and working with partners like ASE and Amkor media report noting.1/2 $TSM $ASX $AMKR $NVDA $AMD $AVGO #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1874630472887832783)  2025-01-02T01:35Z 40.7K followers, 42.9K engagements


"Nvidias plan to develop customized ASIC chips at a proposed Taiwan R&D center has local chip designers worried their top engineers may be lured into working for the AI giant media report noting MediaTek Alchip Technologies Global Unichip and other chip design houses are bracing for 2025. $NVDA #semiconductor #semiconductors"  
[X Link](https://x.com/dnystedt/status/1874631649667011005)  2025-01-02T01:39Z 40.8K followers, 53.9K engagements


"Rumor: Nvidia CEO Jensen Huang is expected to discuss CoWoS capacity expansion with a subsidiary of advanced chip packaging giant ASE Technology during his 1/17 visit to Taiwan media report in addition to meeting with TSMC and other supply chain partners. ASE has said it expects XX% of 2025 revenue from advanced packaging. $NVDA $ASX $TSM #semiconductors"  
[X Link](https://x.com/dnystedt/status/1879346784528703806)  2025-01-15T01:56Z 40.6K followers, 30.1K engagements


"Nvidia CEO Jensen Huang will visit TSMC today in Taiwan to talk about CoWoS packaging and CPO (co-packaged optics) before heading to the opening ceremony of a new semiconductor packaging plant owned by ASE Technology subsidiary SPIL in Taichung central Taiwan media report adding SPIL is expected to begin doing CoWoS packaging work for Nvidia. $NVDA $TSM $ASX #semiconductors #cowos"  
[X Link](https://x.com/dnystedt/status/1879723300143984915)  2025-01-16T02:52Z 40.6K followers, 12K engagements


"Nvidia is ordering more CoWoS-L advanced semiconductor packaging from TSMC a change from CoWoS-S but not an order reduction Reuters reports citing CEO Jensen Huang at a plant opening ceremony by a subsidiary of ASE Technology in Taichung Taiwan. 1/3 $NVDA $ASX $TSM #semiconductors"  
[X Link](https://x.com/dnystedt/status/1880068922566930488)  2025-01-17T01:45Z 40.6K followers, 30.5K engagements


"TSMC on Tuesday (4/1) began to install production lines at its AP8 super large advanced semiconductor packaging plant in the Tainan Science Park key to doubling CoWoS capacity this year media report adding AP8 is a factory bought from LCD panel maker Innolux that TSMC has converted for chip packaging over the past several months. It is 4-times bigger than TSMCs AP5 plant. $TSM $NVDA $AVGO #semiconductors #semiconductor #cowos"  
[X Link](https://x.com/dnystedt/status/1907253809971372411)  2025-04-02T02:08Z 40.7K followers, 3397 engagements


"Micron plans to outsource all HBM2 chip packaging to longtime Taiwan partner Powertech Technology media report with pilot production to begin in the 2nd half of 2025 (earliest) and mass production in 2026. HBM2 high bandwidth memory chips are a few generations behind leading edge HBM3E. $MU #Powertech #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1926818890048225601)  2025-05-26T01:53Z 40.7K followers, 7590 engagements


"Microsoft and Meta have both turned to Taiwan contract chip designer Global Unichip (GUC) for work on their ASIC chips including TSMC CoWoS-R advanced packaging media report citing unnamed supply chain sources and adding GUC taped-out 2nm test chips at TSMC in Q3 last year and won verification on 3nm interconnect IP in Q1 this year. 1/3 $MSFT $META $TSM #semiconductors"  
[X Link](https://x.com/dnystedt/status/1938390321345274246)  2025-06-27T00:14Z 40.8K followers, 36K engagements


"Four TSMC 2nm fabs will be in mass production next year and monthly capacity over 60000 wafers-per-month (wpm) media report citing unnamed supply chain sources. 2nm wafers cost US$30000 each XX% more expensive than 3nm. 1/2 $TSM $SSNLF $INTC #semiconductors #2nm"  
[X Link](https://x.com/dnystedt/status/1952162511815626987)  2025-08-04T00:19Z 40.7K followers, 42.3K engagements


"Meta has placed big orders to Taiwan's Quanta Computer for customized AI servers based on its next-generation ASIC (own chip made with Broadcom) media report noting Chenming Electronic is making cabinets some liquid cooling parts and sidecars for the servers. The order is seen at up to 6000 cabinets shipped by year-end. 1/2 $META $AVGO #semiconductors"  
[X Link](https://x.com/dnystedt/status/1952168653908246889)  2025-08-04T00:44Z 40.7K followers, 28.3K engagements


"Taiwan AI server giants Quanta Wistron Inventec say most of their exports to the US are currently not impacted by the XX% reciprocal tariff rate applied to Taiwan media report since AI servers fall under US Section XXX and are temporarily exempted due to the Section XXX review. 1/2 $AMZN $GOOGL $MSFT $META $TSLA #aiservers #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1952541360399216804)  2025-08-05T01:25Z 40.7K followers, 14.7K engagements


"ASE Technology the chip packaging and testing giant will buy a plant from Win Semiconductors for NT$6.5 billion (US$217 million) to add new advanced packaging capacity as currently full media report due to strong demand for AI and HPC (high performance computing) chip packaging (2.5D 3D wafer-level packaging). ASE expects US$1 billion more revenue from advanced packaging than last year. It is also rapidly expanding advanced test capacity. $ASX $AMKR $TSM #semiconductors"  
[X Link](https://x.com/dnystedt/status/1955172538990071991)  2025-08-12T07:40Z 40.6K followers, 7085 engagements


"Three of Taiwans top AI server manufacturers announced nearly US$600 million in new or increased USA investments media report: -Compal Electronics: US$300M for server production -Quanta Computer: $170M to expand AI server production; $50M for quantum computing -Wistron: $62.5M to renovate a plant in Dallas Texas. #Texas #AIservers #semiconductors"  
[X Link](https://x.com/dnystedt/status/1955430296859607121)  2025-08-13T00:44Z 40.8K followers, 9187 engagements


"Surging demand for Nvidia Blackwell-based AI servers sent July revenue at Taiwan's Big X makers up a combined XXXX% year-on-year to NT$1.13 trillion (US$37.7 billion). Thread: 1/6 The Big 6: Foxconn Quanta Wistron Inventec Wiwynn and Gigabyte. $NVDA $TSM #AIservers"  
[X Link](https://x.com/dnystedt/status/1955541457227702331)  2025-08-13T08:06Z 40.7K followers, 35.5K engagements


"Amazons chip division is working with Taiwans Alchip on Trainium3 and Trainium4 to bring the chips to mass production on TSMC 3nm and 2nm processes respectively media report citing unnamed industry sources. Trainium3 will be in mass production in the 1st quarter of 2026. Trainium4 will follow later with Astera Labs on the I/O chips and Synopsys IP for 225G SerDes. 1/2 $AMZN $TSM $ALAB $SNPS $MRVL #Alchip #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1955807436646047882)  2025-08-14T01:43Z 40.6K followers, 28.1K engagements


"2/2 Commercial Times graphic shows Alchip and Marvell as Amazons current former ASIC partners"  
[X Link](https://x.com/dnystedt/status/1955807709795901742)  2025-08-14T01:44Z 40.6K followers, 5248 engagements


"2/2 The report says Nvidia is working with ASE subsidiary Siliconware Precision (SPIL) on joint development of CoWoP (Chip-on-Wafer-on-PCB) next-gen advanced packaging. Equipment suppliers reportedly say TSMC ASE Amkor Powertech Group (includes Greatek) Innolux are all expanding investments building new plants. $NVDA $ASX $TSM #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1965215080100102237)  2025-09-09T00:46Z 40.7K followers, 3390 engagements


"Rumor: DRAM memory chip prices are surging in this the traditional peak season for electronics demand with 4th quarter (Q4) prices expected to spike 20%-50% over Q3 due to strong demand and tight supplies media report. Taiwan DRAM maker Nanya Technologys contract prices for DDR4 DRAM in Q3 soared XX% versus Q2 and its Q4 contracts are up XX% again. Winbond Electronics saw Q3 DDR4 prices rise XX% Q4 up 20%. $HXSCL $MU $SSNLF #SKhynix #Samsung #semiconductors"  
[X Link](https://x.com/dnystedt/status/1965947127181488370)  2025-09-11T01:14Z 40.7K followers, 25K engagements


"Orders for Nvidia GB300 AI servers are so big they are unimaginable said the head of Quanta Computers AI server business Mike Yang media report adding AI server shipments will peak in the 4th quarter this year while the 3rd quarter remains a transition period between old and new (GB300) products. 1/2 $NVDA $TSM $AVGO #semiconductors #GB300 #AIservers"  
[X Link](https://x.com/dnystedt/status/1966749340577526032)  2025-09-13T06:22Z 40.7K followers, 125.5K engagements


"ASE Technology the chip packaging giant plans to install a fully automated 310x310mm PLP (Panel-Level-Packaging) advanced packaging trial production line and has asked for delivery by year-end from equipment makers and materials vendors media report. $ASX #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1968121980361773216)  2025-09-17T01:17Z 40.7K followers, 6871 engagements


"Nvidia supplier Ibiden the Japan semiconductor materials giant plans to expand production of key substrates needed for AI chip advanced packaging to a total of X facilities from X now by the end of March 2026 Nikkei Asia reports citing CEO Koji Kawashima as the company expects demand for substrates used in AI servers to nearly double this fiscal year versus last year. $NVDA #Ibiden #semiconductors"  
[X Link](https://x.com/dnystedt/status/1969928683113926709)  2025-09-22T00:56Z 40.6K followers, 8968 engagements


"Rumor: Strong demand for AI servers led Dell to add Compal Electronics as a new server partner in Taiwan media report adding Dell has placed orders worth hundreds of billions of Taiwan dollars (NT$100 billion = US$3.3 billion) with deliveries to begin in the 2nd half of the year. Dell is cited as the reason for Compals US$300 million investment in a North America server factory. 1/2 $DELL $NVDA #compal"  
[X Link](https://x.com/dnystedt/status/1970661926448570510)  2025-09-24T01:29Z 40.6K followers, 7200 engagements


"Googles latest Axion CPU chip will be manufactured using TSMCs 3nm process technology and aided by Taiwans Global Unichip a custom ASIC chip designer media report. Googles latest AI server chip the TPU V7P is designed with partner Broadcom and also uses TSMC 3nm. $GOOGL $TSM $ARM $AVGO #semiconductors"  
[X Link](https://x.com/dnystedt/status/1980444806011896103)  2025-10-21T01:23Z 40.8K followers, 15.8K engagements


"Taiwans export orders in September rose XXXX% to US$70.22 billion a record high for a single month on red-hot demand for AI related goods media report noting 2025 could top 2021 ($674.1 billion) as the best year ever for export orders. 1/2 $TSM $ASX #Foxconn"  
[X Link](https://x.com/dnystedt/status/1980793860629622847)  2025-10-22T00:30Z 40.6K followers, 4332 engagements


"The global AI data center buildout continues to strain supply chains with PCBs (printed circuit boards) and a host of related materials now in short supply media report. PCB supplies in particular are expected to remain tight in 2026. 1/5 #AIservers"  
[X Link](https://x.com/dnystedt/status/1982625419485725098)  2025-10-27T01:48Z 40.7K followers, 13.2K engagements


"Taiwans Pegatron will begin mass production of AI servers in Texas in March 2026 including Nvidia GB300 & B300-based AI servers media report adding it bought factory and land in the Blue Springs Business Park for US$30.7 million. $NVDA #Pegatron #AIservers #GB300"  
[X Link](https://x.com/dnystedt/status/1983409653196435953)  2025-10-29T05:44Z 40.7K followers, 3903 engagements


"Server DRAM contract prices (DDR5) will rise 18-23% in the 4th quarter an increase from a prior forecast of 8-13% growth as the global AI data center buildout continues to boost demand for the chips TrendForce said adding there is a strong likelihood of further upward revision. 1/2 $HXSCL $SSNLF $MU #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1983697409344729391)  2025-10-30T00:48Z 40.7K followers, 7352 engagements


"2/2 The market researcher said next year a X% increase in global server shipments and move to use more powerful chips (GPUs ASICs) will further boost the need for DDR5 DRAM chips.$NVDA $MU $HXSCL $SSNLF #SKhynix #Samsung #semiconductors"  
[X Link](https://x.com/dnystedt/status/1983697461005971719)  2025-10-30T00:48Z 40.7K followers, 2810 engagements


"Samsung Electronics 3rd quarter earnings Revenue +9% year-on-year to XXXX trillion (US$60.3 Bln) Operating profit XXXX trillion (10.1T expected) Chip division revenue +13% to 33.1T Chip operating profit 7.0T 1/10 $SSNLF $HXSCL $MU $NVDA #semiconductors #Samsung"  
[X Link](https://x.com/dnystedt/status/1983815843202134319)  2025-10-30T08:38Z 40.7K followers, 4828 engagements


"Intel is in talks to acquire SambaNova Systems the AI chip developer in a deal likely below its US$5 billion valuation from a 2021 funding round Bloomberg reports. Intel CEO Lip-Bu Tan is SambaNovas executive chairman. His investment firm Walden International was an early investor. Intel Capital is an investor in SambaNova. $INTC #semiconductors"  
[X Link](https://x.com/dnystedt/status/1984051965492703430)  2025-10-31T00:17Z 40.6K followers, 30.3K engagements


"ASE Technology said 2025 advanced semiconductor packaging & testing revenue will hit US$1.6 billion and in 2026 grow XX% on strong demand for GPU/ASIC AI chips media report citing the CFO on ASEs Q3 call. He said ASE will raise 2025 capex by $X billion primarily for wafer testing. ASE expects packaging/test revenue to rise XX% this year up from an earlier XX% estimate. (Note: 2025 capex likely US$4-$5 billion). $ASX $KLAC $AMAT $NVMI $CAMT #semiconductors"  
[X Link](https://x.com/dnystedt/status/1984071628268228942)  2025-10-31T01:35Z 40.7K followers, 11.1K engagements


"Rumor: New leadership at China chip foundry Hua Hong Semiconductor are hiking prices on mature chip manufacturing media report reversing what had been fierce price competition led by overcapacity in China. Taiwan foundries say downward price pressures remain on some mature processes. $UMC $TSM #VIS #PSMC #SMIC #semiconductors"  
[X Link](https://x.com/dnystedt/status/1985866201973866862)  2025-11-05T00:26Z 40.6K followers, 8746 engagements


"Taiwan memory chip maker Winbond Electronics sees capex at NT$7.5 billion this year and over NT$40 billion (US$1.3 billion) between 2026 and 2027 as it expands capacity for NAND Flash and Customized Memory Solution (CMS) media report. $KLAC $LRCX $AMAT $TOELY #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1986222037539725470)  2025-11-06T00:00Z 40.7K followers, 5931 engagements


"Wiwynn will begin AI server production at its first US plant (El Paso Texas) this December media report citing Chair Emily Hong. Staff from Wiwynns Mexico operations will be at the facility to help ramp up production quickly to meet massive orders from clients she said. The Taiwan companys Mexico and Texas plants are within 30-minutes of each other. Wiwynn builds servers mainly for giant cloud service providers (CSPs). $AMZN $GOOGL $MSFT $META #AIservers"  
[X Link](https://x.com/dnystedt/status/1986593420820029552)  2025-11-07T00:35Z 40.7K followers, 3725 engagements


"Alchip the ASIC chip design firm will return to strong growth next year after a big cloud service provider client begins mass production of its 3nm AI chip in the 2nd quarter media report citing Chairman Johnny Shen. Alchip said 3rd quarter revenue fell XXXX% year-on-year to NT$6.57 billion and net profit fell XXXX% to NT$1.33 billion as a big US clients 5nm AI chip life cycle ended earlier this year. $AVGO $MRVL $AMZN"  
[X Link](https://x.com/dnystedt/status/1986601986268250309)  2025-11-07T01:09Z 40.7K followers, 4914 engagements


"@rwang07 Interesting question. If Jensen built a fully automated factory staffed only by robots then perhaps Wistron and others aren't so important"  
[X Link](https://x.com/dnystedt/status/1988820203736907878)  2025-11-13T04:04Z 40.6K followers, 3337 engagements


"Gigabyte Technology a smaller yet prominent AI server maker in Taiwan said shipments of Nvidia GB200 and GB300-based servers have been smooth and GB-based servers will account for XX% of its AI server revenue in the 4th quarter media report adding it forecast double-digit shipment growth for AI servers in 2026 as it has strong visibility through end-2026. AI servers will make up XX% of company revenue this year and XX% of server business revenue. $NVDA $AMD $AVGO #AIservers #Semiconductor"  
[X Link](https://x.com/dnystedt/status/1989566801769029963)  2025-11-15T05:31Z 40.6K followers, 5017 engagements


"China's strategy of hiring away South Korean semiconductor talent has paid off as ChangXin Memory Technologies (CXMT) debuted advanced DDR5 and LPDDR5X chips with similar performance to chips from Korean giants SK Hynix Samsung at the IC China 2025 exhibition media report adding CXMT was focused on prior-gen DDR4 until the Chinese government began driving premium DRAM development early this year. Mass production of the chips is expected to begin next year. $HXSCL $MU $SSNLF #SKhynix #Samsung #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1993139660454085032)  2025-11-25T02:08Z 40.6K followers, 19.3K engagements


"ASE Technology announced X more expansion projects in Taiwan as it rushes to build advanced semiconductor packaging capacity to meet demand for AI chips media report spending NT$4.32 billion for land in an industrial park in Chungli north Taiwan and a joint development project in Kaohsiung (Nanzih) south Taiwan. Last month ASE broke ground on its K18B plant. $ASX $AMKR #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1993139852439863603)  2025-11-25T02:09Z 40.8K followers, 5104 engagements


"SK Hynix and 7-Eleven launched an "HBM Chips" snack in South Korea: #SKhynix"  
[X Link](https://x.com/dnystedt/status/1993531659191513533)  2025-11-26T04:06Z 40.7K followers, 29.4K engagements


"Apple may top Samsung as the worlds biggest smartphone maker in 2025 its first title since 2011 due to strong demand for iPhone XX and a strong replacement cycle media report citing Counterpoint Research. Apple is expected to hold the title through 2029. $AAPL $SSNLF #Samsung #iPhone"  
[X Link](https://x.com/dnystedt/status/1993532097735413826)  2025-11-26T04:07Z 40.7K followers, 5467 engagements


"SK Hynix has recorded XXXX trillion won (US$38.7 billion) in exports of advanced memory chips through end 3rd quarter (Q3) this year up from XXXX trillion for all 2024 media report adding of South Koreas US$185 billion in Q3 exports advanced memory chips were $XXXX billion. SK Hynix primarily supplies Nvidia with cutting-edge HBM memory chips. $NVDA $HXSCL $MU $SSNLF #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1993533897431220346)  2025-11-26T04:14Z 40.6K followers, 11.3K engagements


"Google TPU chip mania lifted Taiwan smartphone chip and Custom ASIC developer MediaTek stock to its upper limit (10% on the Taiwan Stock Exchange) media report after news Meta might buy $billions of Google TPUs prompted investors to buy MediaTek which is said to be Googles ASIC design partner on a TPU project. $GOOGL $AVGO #Mediatek #semiconductors"  
[X Link](https://x.com/dnystedt/status/1993850713882137022)  2025-11-27T01:13Z 40.7K followers, 26.2K engagements


"TSMC is manufacturing the latest premium smartphone chip by Qualcomm the Snapdragon X Gen X with a 3nm process (N3P) media report and XX handset makers have chosen the chip for their latest premium smartphones including Motorola Vivo Meizu iQQQ more. $TSM $QCOM $MSI #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1993850876549808434)  2025-11-27T01:14Z 40.7K followers, 5664 engagements


"South Korean cloud giant Naver is buying crypto firm Dunamu (Upbit) in a US$10.3 billion deal media report and will then invest XX trillion won (US$6.8 billion) in AI and blockchain technology to create new fintech and AI applications. #Naver #Dunamu #Upbit #Korea #AI"  
[X Link](https://x.com/dnystedt/status/1993851281920999929)  2025-11-27T01:16Z 40.7K followers, 8674 engagements


"Prices of the electronic material CCL (copper clad laminate) are on the rise in step with surging copper prices media report with Nan Ya Plastics hiking prices X% and rivals Taiwan Union ITEQ Corp. raising prices in tandem as they see no room for copper prices to fall with the metals widespread use in data centers and electric vehicles. CCL is a key material used in printed circuit boards (PCBs)"  
[X Link](https://x.com/dnystedt/status/1994212963599982978)  2025-11-28T01:13Z 40.7K followers, 4526 engagements


"Japan and the US are in talks to build a NAND Flash memory chip fab in the US a public/private partnership with SanDisk and Japanese partner Kioxia seen as primary investors media report in part due to rising demand for memory chips for AI-related demand. $SNDK #Kioxia #semiconductors"  
[X Link](https://x.com/dnystedt/status/1994217481578459236)  2025-11-28T01:31Z 40.6K followers, 13.9K engagements


"Google TPU fever sent shares of Taiwans MediaTek up XX% last week its best weekly gain in 23-years media report as Googles success with the AI chips casts a glow on suppliers. MediaTek is one of Googles TPU chip design partners. $GOOGL $AVGO #mediatek #semiconductors"  
[X Link](https://x.com/dnystedt/status/1994735202653151519)  2025-11-29T11:48Z 40.7K followers, 12.1K engagements


"Taiwan authorities have approved the seize of over NT$2 billion (US$64 million) worth of assets owned by the former TSMC executive accused of taking 2nm trade secrets to Intel including TSMC stock worth NT$1.8 billion media report adding Intel said it fully supports its new hire and denies wrongdoing. $TSM $INTC #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1994735464452960301)  2025-11-29T11:49Z 40.7K followers, 148.4K engagements


"2/10 Question is has Marvell taken care of the business that started it all and keeps cash flowing in so it can pursue other businesses The chip design giant has focused on Custom ASICs for AI data centers an also-ran to giant Broadcom. $MRVL $AVGO"  
[X Link](https://x.com/dnystedt/status/1995085222149566539)  2025-11-30T10:59Z 40.7K followers, 1564 engagements


"7/10 Marvell rival Phison recently said total PCIe SSD controller chip shipments soared XXX% year-on-year in the month of October and monthly revenue rose XX% to NT$7.1 billion. If Marvells smaller rivals see such strong business should we not expect the same from Marvell"  
[X Link](https://x.com/dnystedt/status/1995085816264273987)  2025-11-30T11:01Z 40.6K followers, 1083 engagements


"8/10 New technologies look just as interesting. High Bandwidth Flash (HBF) memory is a new technology being pursued by a number of giants including SanDisk and SK Hynix. Where is Marvell in HBF"  
[X Link](https://x.com/dnystedt/status/1995085893909299698)  2025-11-30T11:01Z 40.6K followers, 1082 engagements


"10/10 These are the types of questions that could make Marvells 3rd quarter conference on Tuesday one of the best of the season. Unless of course all investors want to hear about is the Custom ASICs unit"  
[X Link](https://x.com/dnystedt/status/1995086016345244080)  2025-11-30T11:02Z 40.6K followers, 1070 engagements


"Links: All figures in the 5/10 graphic are from Marvell Technology financial filings:"  
[X Link](https://x.com/dnystedt/status/1995086087837061397)  2025-11-30T11:02Z 40.6K followers, 4605 engagements


"Nvidia is TSMCs first client for the A16 process (1.6nm) with volume production expected in 2027 in Kaohsiung south Taiwan media report adding it appears Apple will skip A16 and go directly to A14 (1.4nm) from 2nm. TSMC is also aggressively expanding 3nm capacity due to big Nvidia orders. 1/3 $NVDA $AAPL $AMAT $LRCX $ASML $KLAC $TOELY #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1995280963233022084)  2025-11-30T23:57Z 40.7K followers, 55K engagements


"2/3 The report also confirms TSMCs plans to build three additional 2nm fabs in Taiwan to keep up with brisk demand citing industry insiders that say TSMCs 2026 capex could reach US$48-$50 billion from $40-$42 billion this year. $TSM $AMAT $LRCX $ASML $KLAC $TOELY #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1995281029721210909)  2025-11-30T23:57Z 40.6K followers, 4199 engagements


"Google is working with Foxconn on TPU servers media report as the Taiwan assembly giant won big orders for compute trays for Google AI servers. Each Google AI server is divided into X cabinets one with TPUs the other the compute tray. Foxconn will also work with Googles robotics company Intrinsic to build future AI robot factories. $GOOGL $META $NVDA #Foxconn #AIservers #TPU"  
[X Link](https://x.com/dnystedt/status/1995281199598862407)  2025-11-30T23:58Z 40.6K followers, 16.6K engagements


"Before Marvell's storage unit was folded into data center its top X customers were Western Digital Toshiba and Seagate while WT Micro was major distributor. Samsung was a major Marvell customer for about the first decade Marvell was in business then fades from the record. Are you sure about Amazon I don't know know why they's effort into a chip they can buy off the shelf from several firms. And I found no reference to them having a flash controller chip. Never heard of SandForce. Makes sense that Samsung SK Hynix Micron make their own controller chips"  
[X Link](https://x.com/dnystedt/status/1995286505879986336)  2025-12-01T00:19Z 40.7K followers, XXX engagements


"TSMC Chairman C.C. Wei is in China for the chip giants Open Innovation Platform Ecosystem Forum (OIP) in Nanjing on Dec. X and will meet with Alibaba and other local chip designers media report. TSMC held its annual Technology Forum in Shanghai in June. $TSM $BABA #semiconductors"  
[X Link](https://x.com/dnystedt/status/1995668428179603826)  2025-12-02T01:36Z 40.7K followers, 6937 engagements


"@jukan05 Since SK Hynix and Micron have both already announced their 2026 HBM4 and HBM3E are already fully sold out who else is there to supply Broadcom other than Samsung"  
[X Link](https://x.com/dnystedt/status/1996066832764330212)  2025-12-03T03:59Z 40.6K followers, 1892 engagements


"US senators from both major parties are working on a bill to block Nvidia from selling advanced chips to China for XX months as part of efforts to keep American AI technology out of Beijings hands the FT reports noting The Secure and Feasible Export Chips Act would prevent Nvidia from selling H200s or Blackwells to China. Senator John Kennedy said Nvidias CEO is not a credible source on what the US should export to China as he simply wants to sell more chips. 1/2 $NVDA $AMD $INTC $TSM #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1996766052555149729)  2025-12-05T02:18Z 40.7K followers, 8935 engagements


"Foxconn the AI server and iPhone assembly giant said AI server shipments continued to ramp up in November and visibility for the 4th quarter is better than last month with overall performance roughly in line with market expectations. November revenue rose XXXX% year-on-year to NT$844.3 billion (US$26.9 billion) but fell XXX% from October as demand for smart consumer electronics (iPhone category) fell slightly. The pre-holiday build is winding down. Jan-Nov revenue rose XXXX% to NT$7.24 trillion. $NVDA $AAPL $AMD $AVGO $AMZN $GOOGL $META $MSFT $DELL $ORCL #AIservers #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1996863919605141770)  2025-12-05T08:47Z 40.7K followers, 4878 engagements


"Strong demand for Nvidia Blackwell-based AI servers sent August revenue at Taiwan's Big X makers up a combined XXXX% year-on-year to NT$1.11 trillion (US$36.6 billion). 1/7 $NVDA $TSM $AVGO $AMD $SMCI #AIservers #Foxconn #Quanta #Wistron #Inventec #Wiwynn #Gigabyte"  
[X Link](https://x.com/dnystedt/status/1967111891760947521)  2025-09-14T06:23Z 40.7K followers, 35.1K engagements


"TSMC is aggressively expanding advanced chip packaging capacity to meet explosive AI related demand media report noting TSMC held an equipment move-in ceremony at AP7 on Thursday its new P2 plant in Chiayi south Taiwan. The plant faced a number of delays after ancient artifacts were found at the site and later construction accidents. TSMCs AP8 facility in nearby Tainan also recently began equipment move-in at its P2 plant. AP8 does CoWoS work while AP7 does CoWoS as well as WMCM SoIC and CoPoS. $TSM #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1996765330186903908)  2025-12-05T02:15Z 40.7K followers, 12.3K engagements


"Unimicron a major PCB and IC substrate maker (ABF BT) raised its 2025 capex to NT$20.6 billion (US$700 million) from NT$18.6 billion and estimated next years capex at NT$19.4 billion citing customer demand and market conditions media report noting tight supplies and rising prices for ABF and BT substrates used in chip packaging for AI and other chips. $NVDA #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1950373455985365379)  2025-07-30T01:50Z 40.7K followers, 5428 engagements


"Price hikes on a range of materials used in semiconductor production including ABF and BT substrates T-Glass more have boosted Taiwan makers amid tight supplies and capacity media report with Unimicron Kinsus Interconnect and Nanya PCB all reporting monthly and annual revenue growth in October. Kinsus +39.8% and Nanya PCB +41.7% reported the strongest year-on-year revenue growth. Substrate prices are set to move higher as the latest AI chips require substrate size and layer count increases. $NVDA $AMD $AVGO #semiconductors"  
[X Link](https://x.com/dnystedt/status/1988051032564527291)  2025-11-11T01:07Z 40.7K followers, 5560 engagements


"Panasonic notified clients it will hike tantalum capacitor prices by up to XX% from Feb. X 2026 media report as passive component prices continue to rise amid the buildout in AI data centers. Chinas FengHua and Taiwans Yageo have also announced price hikes recently. Tantanlum capacitors are widely used in AI servers placed near the main AI chips (Nvidia GPUs) CPUs and HBM memory to ensure stable power. #capacitors #semiconductors #AIservers"  
[X Link](https://x.com/dnystedt/status/1994213271457640777)  2025-11-28T01:14Z 40.7K followers, 6755 engagements


"Marvell may have the most interesting 3rd quarter report of the season on Tuesday (12/2). A global memory chip shortage has sent prices rocketing and Marvell is king of memory/storage controller chips. 1/10 $MRVL $SNDK $SIMO $RMBS $WDC $STX $MU"  
[X Link](https://x.com/dnystedt/status/1995085027517046985)  2025-11-30T10:58Z 40.8K followers, 25.1K engagements


"Rumor: Google has asked AI server suppliers to speed up deliveries due to the popularity of Gemini X which launched Nov. XX media report adding Googles AI server suppliers in Taiwan including Foxconn Quanta Inventec and others are expected to benefit. $GOOGL #Gemini3 #AIservers"  
[X Link](https://x.com/dnystedt/status/1995677904865558783)  2025-12-02T02:14Z 40.8K followers, 71.7K engagements


"SK Hynix will invest XXX trillion won (US$407 billion) in its Yongin semiconductor campus in South Korea media report up from an original plan of XXX trillion or XX trillion each for four fabs. Bigger fabs and expensive production line equipment are key reasons for the change as the clean room area of the first fab already being built was expanded by over 50%. Bigger clean rooms can hold more production machinery such as ASML EUV lithography machines but also command high prices. SK Hynix sees expansion as necessary to maintain its dominance in AI memory chips. Its monthly DRAM production is"  
[X Link](https://x.com/dnystedt/status/1997179488401256930)  2025-12-06T05:41Z 40.8K followers, 37.7K engagements


"Nvidia has booked over half of TSMCs CoWoS advanced packaging capacity for the next 2-years even as TSMC aggressively expands media report citing equipment suppliers. Nvidias orders do not include potential H200 sales to China. 1/5 $NVDA $TSM $ASX $AMKR $UMC $AVGO $AMD $TOELY $KLAC $AMAT 2/5 TSMCs planned CoWoS capacity has been raised to about 127000 wafers per month (wpm) by end-2026 on strong demand from GPU and ASIC clients while rivals including ASE Amkor UMC have raised to 40000wpm from 26000 previously. 3/5 TSMC Arizonas X planned advanced packaging plants are expected to begin mass"  
[X Link](https://x.com/dnystedt/status/1998563252591378652)  2025-12-10T01:19Z 40.8K followers, 9830 engagements


"ASE Technologys advanced packaging revenue will grow XX% in 2026 to around US$2.6 billion from $XXX billion this year media report adding its CoWoS-rival technology FOCoS (Fan-Out Chip-on-Substrate) will see more substantial revenue from the 2nd half 2026 as it wins over more American European clients. $ASX $AMKR #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1998576658958397579)  2025-12-10T02:13Z 40.8K followers, 3266 engagements


"TSMCs revenue in November rose XXXX% year-on-year to NT$343.61 billion (US$11.23 B) its second highest single month ever. November revenue was down XXX% from October its best month ever at NT$367.47 B. 1/3 $TSM $AAPL $NVDA $AMD $AVGO $QCOM #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1998629449911460235)  2025-12-10T05:42Z 40.8K followers, XXX engagements


"TSMCs revenue in November rose XXXX% year-on-year to NT$343.61 Bln (US$11.23 B) its third highest single month ever. November revenue was down XXX% from October its best month ever at NT$367.47 B. 1/3 $TSM $AAPL $NVDA $AMD $AVGO $QCOM #semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1998630075529572577)  2025-12-10T05:45Z 40.8K followers, 1640 engagements


"Rumor: Dell has ordered AI server compute trays from Taiwan's Wistron with shipments of 5000 server racks this year potentially tripling to 12000 15000 racks in 2026 media report adding Wistron also joined Ciscos CSP ASIC switch supply chain. $DELL $CSCO #Wistron #AIservers"  
[X Link](https://x.com/dnystedt/status/1973207326191767934)  2025-10-01T02:04Z 40.8K followers, 7802 engagements


"2/5 Taiwans Unimicron and Zhen Ding Technology see shortages of materials/components for ABF and BT substrates easing next year while Unimicrons chairman said the CCL shortage as less severe likely to ease rapidly in the 3rd quarter 2026 media report. Shortages of fiberglass cloth quartz cloth copper foil and Low CTE materials will go on for another year. Meanwhile industry is seeking alternative materials"  
[X Link](https://x.com/dnystedt/status/1982625491468386466)  2025-10-27T01:48Z 40.7K followers, 1314 engagements


"Nvidia CEO Jensen Huang highlighted four Taiwan partners working to bring manufacturing back to the US in an interview with Fox News including cutting-edge chip production by TSMC AI servers by Foxconn and & Wistron and an advanced chip testing plant in Arizona by ASE (or subsidiary SPIL) media report. $NVDA $TSM $ASX #Foxconn #Wistron #AIservers #semiconductors"  
[X Link](https://x.com/dnystedt/status/1985529920605602247)  2025-11-04T02:09Z 40.7K followers, 6301 engagements


"1/5 Japan has every right to defend itselfand every reason to be terrified. For decades Beijing has fueled anti-Japanese hatred through endless kill the Japanese devils TV shows and movies patriotic education campaigns and state media. The result: millions genuinely believe Japan is an eternal enemy that still owes a blood debt from WWII. It isnt education; its incitement to hate. 2/5 Proof Look at the escalations: Nov 2025: Chinas Consul General in Osaka posts a death threat on X against Japan PM Takaichi vowing to cut off her filthy neck over her Taiwan stance. 2024 Shenzhen stabbing: A"  
[X Link](https://x.com/dnystedt/status/1993225513977192729)  2025-11-25T07:49Z 40.8K followers, 60.5K engagements


"Nvidia CEO Jensen Huang is in Taipei with his wife and daughter on a quiet Thanksgiving trip media report his 5th visit to Taiwan this year and just a few weeks after attending TSMCs Sports Day (11/7). He will reportedly visit TSMC founder Morris Chang. Jensen was seen at favorite eateries; Flower Restaurant () in Taipei and a Siping Street preserved fruit seller. $NVDA $TSM #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1994212302816727426)  2025-11-28T01:10Z 40.8K followers, 330.2K engagements


"Rumor: TSMC Arizona may start building an advanced semiconductor packaging plant ahead of schedule on land currently set aside for fab P6 media report with equipment installation possible by end-2027 if construction is smooth. TSMC had originally partnered with Amkor to provide advanced packaging when its Arizona facility is ready but thats not expected to be until 2028. $TSM $AMKR $NVDA $AAPL $AMD #Semiconductors #semiconductor"  
[X Link](https://x.com/dnystedt/status/1996379867345236354)  2025-12-04T00:43Z 40.8K followers, 28.3K engagements


"Google may have to wait to ramp up TPU output as TSMCs CoWoS advanced packaging wont substantially increase until 2027 media report adding Googles TPU chip output will double at that point. TSMC CoWoS is the key bottleneck for Google TPUs now and the reason forecasts of X million units next year are wrong. Morgan Stanley estimates Google TPU production could hit X million in 2027. $GOOGL $TSM #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1996765784530735388)  2025-12-05T02:17Z 40.8K followers, 138.7K engagements


"US Commerce Sec. Lutnick said Taiwan should invest more than US$300 billion in the US in addition to helping train US workers in advanced semiconductor production media report as the Trump administration works to rebuild advanced semiconductor manufacturing in the US"  
[X Link](https://x.com/dnystedt/status/1996766275314597922)  2025-12-05T02:19Z 40.8K followers, 36.5K engagements


"Rumor: TSMC is facing a massive surge in orders for CoWoS advanced semiconductor packaging even as CoWoS-L and CoWoS-S remain fully booked media report with orders mainly for AI chips from Nvidia Google Amazon and MediaTek. TSMC has turned to outsourcing CoWoS production to partners like ASE to help meet client needs. $TSM $NVDA $ASX $GOOGL $AMZN $AVGO $AMD"  
[X Link](https://x.com/dnystedt/status/1997823873858093255)  2025-12-08T00:21Z 40.8K followers, 73.4K engagements


"TSMCs overflow of CoWoS advanced packaging orders is turning into a bonanza for ASE Technology via outsourcing media report noting ASE and subsidiary SPIL have spent NT$11.2 billion (US$360 M) the past 2-months on plants & equipment to prepare for the influx of TSMC orders. ASEs advanced packaging revenue is expected to hit US$1.6 billion this year and increase by over $X billion more in 2026. $TSM $ASX $AMKR #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1997824166381461742)  2025-12-08T00:22Z 40.8K followers, 9599 engagements


"Googles TPU chips lead production in the global supply chain against all other ASICs (NOT Nvidia AMD) and will lead through 2027 though TPUs face serious bottlenecks in CoWoS advanced packaging and memory chips media report noting Google and Nvidia are seen as the X biggest memory chip hoarders and there have been rumors Google might use Intel EMIB packaging. $GOOGL $NVDA $AVGO $MRVL $INTC #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1998195407915196527)  2025-12-09T00:58Z 40.8K followers, 7981 engagements


"Nvidias H200 AI chip and similar from AMD Intel others can be sold to approved customers in China and the US will collect XX% on every sale media report citing President Trump. 1/3 $NVDA $AMD $INTC #Semiconductors"  
[X Link](https://x.com/dnystedt/status/1998196033227206794)  2025-12-09T01:00Z 40.8K followers, 4914 engagements


"A few issues if Nvidia is to resume H200 sales to China: X. H200 is made on TSMC's N4 production process which is currently fully utilized. X. H200 uses TSMC CoWoS advanced packaging also fully utilized. X. H200 was made for much more powerful HBM3e AI memory chips currently restricted by US for sale to China. Easy to change regulations but. HBM3e open as well now"  
[X Link](https://x.com/dnystedt/status/1998208836252135747)  2025-12-09T01:51Z 40.8K followers, 51.2K engagements


"3/5 TSMC Arizonas X planned advanced packaging plants are expected to begin mass production in 2028 one after the other with plant X focused on SoIC and CoW and plant X is for CoPoS"  
[X Link](https://x.com/dnystedt/status/1998563360657592439)  2025-12-10T01:20Z 40.8K followers, XXX engagements


"4/5 Broadcom is TSMCs 2nd biggest client for CoWoS AMD is 3rd and MediaTek is new for 2026. Broadcom is expected to get 240000 wafers capacity in 2026 with 20000 wafers for MediaTek"  
[X Link](https://x.com/dnystedt/status/1998563419440693636)  2025-12-10T01:20Z 40.8K followers, 1571 engagements

[GUEST ACCESS MODE: Data is scrambled or limited to provide examples. Make requests using your API key to unlock full data. Check https://lunarcrush.ai/auth for authentication information.]

@dnystedt Avatar @dnystedt Dan Nystedt

Nvidia CEO Jensen Huang recently visited Taiwan, highlighting strong demand for AI-related chips and expressing his respect for TSMC and other partners. He also mentioned that Nvidia is working with various Taiwanese companies, including Foxconn, Quanta, and Wistron, to meet the surging demand for AI servers. TSMC is investing heavily in new technologies, including a 1.4nm semiconductor fab, and has seen significant growth in export orders.

Engagements: XXXXXX #

Engagements Line Chart

  • X Week XXXXXXX -XX%
  • X Month XXXXXXXXX +75%
  • X Months XXXXXXXXX -XX%
  • X Year XXXXXXXXXX -XX%

Mentions: XX #

Mentions Line Chart

  • X Week XXX -XX%
  • X Month XXX -XX%
  • X Months XXXXX +21%
  • X Year XXXXX +18%

Followers: XXXXXX #

Followers Line Chart

  • X Week XXXXXX +0.78%
  • X Month XXXXXX +3.20%
  • X Months XXXXXX +12%
  • X Year XXXXXX +38%

CreatorRank: XXXXXXX #

CreatorRank Line Chart

Social Influence

Social category influence stocks #3324 technology brands XXXXX% countries XXXXX% finance XXXX% currencies XXXX% cryptocurrencies XXXX% fashion brands XXXX% products XXXX% exchanges XXXX% travel destinations XXXX%

Social topic influence ai #5420, $nvda #111, taiwan #693, $tsm #7, $asx #1, $avgo #18, nvda #663, ceo #2362, strong 7.64%, $googl #776

Top accounts mentioned or mentioned by @zackeiseman @jukan05 @bullmeechum3 @grok @cobinstrietelm1 @william_r2rclub @middatyildiz @diamhanz @rybar_pacific @ascrmmc @alex_intel_ @gohlip @rwang07 @elonmusk @omercheeema @edwinvanderberg @cobus27 @kakashiii111 @antithetoscptl @tshirtnjeans2

Top assets mentioned NVIDIA Corp. (NVDA) Taiwan Semiconductor (TSM) ASE Technology Holding Co., Ltd. (ASX) Broadcom, Inc. (AVGO) Alphabet Inc Class A (GOOGL) Advanced Micro Devices (AMD) Micron Technology, Inc. (MU) Intel Corporation (INTC) Amkor Technology Inc (AMKR) Metadium (META) Applied Materials, Inc. (AMAT) KLA Corp (KLAC) Apple, Inc. (AAPL) United Microelectronic Corp. (UMC) Microsoft Corp. (MSFT) Amazon.com, Inc. (AMZN) Lam Research Corporation (LRCX) QUALCOMM, Inc. (QCOM) Marvell Technology Inc (MRVL) Dell Technologies, Inc. (DELL) Sandisk Corporation Common Stock (SNDK) Tesla, Inc. (TSLA) Astera Labs, Inc. Common Stock (ALAB) Synopsys, Inc. (SNPS) Axion (AXN)

Top Social Posts

Top posts by engagements in the last XX hours

"2/2 Other media outlets said Nvidias CEO has won over the Trump administration with arguments for flexibility on export controls and that the administration appears supportive of possible Nvidia H200 chip sales to China. $NVDA"
X Link 2025-12-05T02:18Z 40.7K followers, 2549 engagements

"Foxconn (Hon Hai):"
X Link 2025-12-05T08:47Z 40.8K followers, 2118 engagements

"Nvidia GTC 2026 will be held March 16-19 in San Jose CA with CEO Jensen Huang expected to deliver a keynote highlighting its upcoming Vera Rubin AI chip platform media report and also give more details about Feynman the next AI chip architecture. $NVDA $AMD $INTC #Semiconductors"
X Link 2025-12-08T00:25Z 40.8K followers, 32.1K engagements

"UMC will work with US chip foundry Polar Semiconductor on the production of chips for the US market made on older 8-inch wafers as the US continues to onshore more chip production media report adding the firms will work to deliver chips for automotive data centers consumer electronics and aerospace/defense together produced at Polars fab in Minnesota. $UMC #Polar #Semiconductors"
X Link 2025-12-05T02:17Z 40.8K followers, 9445 engagements

"Three top AI server makers Wistron Quanta and Wiwynn all reported record high monthly sales for November media report as shipments of servers based on Nvidia Blackwell Ultra Google TPUs AWS Trainium chips etc. ramp up: -Wistron NT$280.6 billion (US$9.2 billion) up XXX% year-on-year and XXXX% vs Oct. -Quanta NT$192.9 billion up XXXX% yoy and XXXX% vs Oct. -Wiwynn NT$96.9 billion up XXX% yoy and XXX% vs Oct. $NVDA $GOOGL $AMZN"
X Link 2025-12-09T00:57Z 40.8K followers, 83K engagements

"AMD is seeking more CoWoS-like advanced packaging for its AI chips media report saying rumors put Powertech Technology at the top of the list of potential partners with a deal already signed but that ASE Amkor could also win out. Powertech declined to comment. $AMD $ASX $AMKR"
X Link 2024-01-03T02:04Z 40.7K followers, 23.1K engagements

"Nvidia has placed fresh orders to TSMC for more Blackwell platform chips including GB200 and B-series (100/200) as demand for the AI chips outpaces supply media report while fresh advanced chip testing orders go to KYEC and advanced packaging & testing to ASE Technology with such orders seen doubling in the 4th quarter over the 3rd. KYEC has been overwhelmed with Nvidia orders going all out to expand capacity one unnamed source said while industry insiders say TSMCs advanced chip output has already expanded significantly and demand for its CoWoS advanced packaging will expand at a similar"
X Link 2024-06-24T01:06Z 40.6K followers, 144.2K engagements

"Two Taiwan AI server makers Wiwynn and Gigabyte confirmed more details about the Nvidia chip delay according to media reports. Wiwynn CFO Harry Chen is quoted saying the design and heat dissipation problems have led to delays but that the impact is insignificant and that demand for AI servers overall and Blackwell servers in particular remain strong. The company no longer expects AI servers to make up XX% of revenue in the 4th quarter due to the delays. 1/5 $NVDA $TSM $SMCI $DELL #semiconductors"
X Link 2024-08-16T11:47Z 40.6K followers, 36.5K engagements

"Microsoft said its custom AI chip Maia is already in use on Azure OpenAI and it plans to launch X more custom AI chips media report adding TSMC will likely manufacture the chips while contract chip designer Global Unichip will likely win some engineering work on the chips. 1/2 $MSFT $TSM #GUC #semiconductors #semiconductor"
X Link 2024-11-21T01:26Z 40.8K followers, 12.1K engagements

"Nvidia CEO Jensen Huang for the 1st time named several supply chain partners in Taiwan media report including semiconductor packaging and testing firms SPIL (Siliconware Precision a subsidiary of ASE Technology) and testing firm KYEC (King Yuan Electronics Co.) in addition to TSMC and AI server and systems makers Foxconn Quanta Computer Wiwynn. $TSM $NVDA $ASX $HXSCL $MU #semiconductor #semiconductors"
X Link 2024-11-22T02:11Z 40.7K followers, 35.2K engagements

"Rumor: Nvidia has approached a number of Taiwan machine tool and key component makers to join its humanoid robot supply chain including Hota Industrial Chieftek Hiwin media report citing unnamed industry sources . Nvidia will also reportedly set up a robot assembly base in Taiwan. $NVDA #semiconductors #semiconductor"
X Link 2024-12-02T02:38Z 40.8K followers, 96.3K engagements

"TSMC may be able to double CoWoS advanced packaging capacity to 75000 wafers-per-month by mid-2025 around 6-months earlier than expected by converting plants bought from display maker Innolux and working with partners like ASE and Amkor media report noting.1/2 $TSM $ASX $AMKR $NVDA $AMD $AVGO #semiconductors #semiconductor"
X Link 2025-01-02T01:35Z 40.7K followers, 42.9K engagements

"Nvidias plan to develop customized ASIC chips at a proposed Taiwan R&D center has local chip designers worried their top engineers may be lured into working for the AI giant media report noting MediaTek Alchip Technologies Global Unichip and other chip design houses are bracing for 2025. $NVDA #semiconductor #semiconductors"
X Link 2025-01-02T01:39Z 40.8K followers, 53.9K engagements

"Rumor: Nvidia CEO Jensen Huang is expected to discuss CoWoS capacity expansion with a subsidiary of advanced chip packaging giant ASE Technology during his 1/17 visit to Taiwan media report in addition to meeting with TSMC and other supply chain partners. ASE has said it expects XX% of 2025 revenue from advanced packaging. $NVDA $ASX $TSM #semiconductors"
X Link 2025-01-15T01:56Z 40.6K followers, 30.1K engagements

"Nvidia CEO Jensen Huang will visit TSMC today in Taiwan to talk about CoWoS packaging and CPO (co-packaged optics) before heading to the opening ceremony of a new semiconductor packaging plant owned by ASE Technology subsidiary SPIL in Taichung central Taiwan media report adding SPIL is expected to begin doing CoWoS packaging work for Nvidia. $NVDA $TSM $ASX #semiconductors #cowos"
X Link 2025-01-16T02:52Z 40.6K followers, 12K engagements

"Nvidia is ordering more CoWoS-L advanced semiconductor packaging from TSMC a change from CoWoS-S but not an order reduction Reuters reports citing CEO Jensen Huang at a plant opening ceremony by a subsidiary of ASE Technology in Taichung Taiwan. 1/3 $NVDA $ASX $TSM #semiconductors"
X Link 2025-01-17T01:45Z 40.6K followers, 30.5K engagements

"TSMC on Tuesday (4/1) began to install production lines at its AP8 super large advanced semiconductor packaging plant in the Tainan Science Park key to doubling CoWoS capacity this year media report adding AP8 is a factory bought from LCD panel maker Innolux that TSMC has converted for chip packaging over the past several months. It is 4-times bigger than TSMCs AP5 plant. $TSM $NVDA $AVGO #semiconductors #semiconductor #cowos"
X Link 2025-04-02T02:08Z 40.7K followers, 3397 engagements

"Micron plans to outsource all HBM2 chip packaging to longtime Taiwan partner Powertech Technology media report with pilot production to begin in the 2nd half of 2025 (earliest) and mass production in 2026. HBM2 high bandwidth memory chips are a few generations behind leading edge HBM3E. $MU #Powertech #semiconductors #semiconductor"
X Link 2025-05-26T01:53Z 40.7K followers, 7590 engagements

"Microsoft and Meta have both turned to Taiwan contract chip designer Global Unichip (GUC) for work on their ASIC chips including TSMC CoWoS-R advanced packaging media report citing unnamed supply chain sources and adding GUC taped-out 2nm test chips at TSMC in Q3 last year and won verification on 3nm interconnect IP in Q1 this year. 1/3 $MSFT $META $TSM #semiconductors"
X Link 2025-06-27T00:14Z 40.8K followers, 36K engagements

"Four TSMC 2nm fabs will be in mass production next year and monthly capacity over 60000 wafers-per-month (wpm) media report citing unnamed supply chain sources. 2nm wafers cost US$30000 each XX% more expensive than 3nm. 1/2 $TSM $SSNLF $INTC #semiconductors #2nm"
X Link 2025-08-04T00:19Z 40.7K followers, 42.3K engagements

"Meta has placed big orders to Taiwan's Quanta Computer for customized AI servers based on its next-generation ASIC (own chip made with Broadcom) media report noting Chenming Electronic is making cabinets some liquid cooling parts and sidecars for the servers. The order is seen at up to 6000 cabinets shipped by year-end. 1/2 $META $AVGO #semiconductors"
X Link 2025-08-04T00:44Z 40.7K followers, 28.3K engagements

"Taiwan AI server giants Quanta Wistron Inventec say most of their exports to the US are currently not impacted by the XX% reciprocal tariff rate applied to Taiwan media report since AI servers fall under US Section XXX and are temporarily exempted due to the Section XXX review. 1/2 $AMZN $GOOGL $MSFT $META $TSLA #aiservers #Semiconductors"
X Link 2025-08-05T01:25Z 40.7K followers, 14.7K engagements

"ASE Technology the chip packaging and testing giant will buy a plant from Win Semiconductors for NT$6.5 billion (US$217 million) to add new advanced packaging capacity as currently full media report due to strong demand for AI and HPC (high performance computing) chip packaging (2.5D 3D wafer-level packaging). ASE expects US$1 billion more revenue from advanced packaging than last year. It is also rapidly expanding advanced test capacity. $ASX $AMKR $TSM #semiconductors"
X Link 2025-08-12T07:40Z 40.6K followers, 7085 engagements

"Three of Taiwans top AI server manufacturers announced nearly US$600 million in new or increased USA investments media report: -Compal Electronics: US$300M for server production -Quanta Computer: $170M to expand AI server production; $50M for quantum computing -Wistron: $62.5M to renovate a plant in Dallas Texas. #Texas #AIservers #semiconductors"
X Link 2025-08-13T00:44Z 40.8K followers, 9187 engagements

"Surging demand for Nvidia Blackwell-based AI servers sent July revenue at Taiwan's Big X makers up a combined XXXX% year-on-year to NT$1.13 trillion (US$37.7 billion). Thread: 1/6 The Big 6: Foxconn Quanta Wistron Inventec Wiwynn and Gigabyte. $NVDA $TSM #AIservers"
X Link 2025-08-13T08:06Z 40.7K followers, 35.5K engagements

"Amazons chip division is working with Taiwans Alchip on Trainium3 and Trainium4 to bring the chips to mass production on TSMC 3nm and 2nm processes respectively media report citing unnamed industry sources. Trainium3 will be in mass production in the 1st quarter of 2026. Trainium4 will follow later with Astera Labs on the I/O chips and Synopsys IP for 225G SerDes. 1/2 $AMZN $TSM $ALAB $SNPS $MRVL #Alchip #semiconductors #semiconductor"
X Link 2025-08-14T01:43Z 40.6K followers, 28.1K engagements

"2/2 Commercial Times graphic shows Alchip and Marvell as Amazons current former ASIC partners"
X Link 2025-08-14T01:44Z 40.6K followers, 5248 engagements

"2/2 The report says Nvidia is working with ASE subsidiary Siliconware Precision (SPIL) on joint development of CoWoP (Chip-on-Wafer-on-PCB) next-gen advanced packaging. Equipment suppliers reportedly say TSMC ASE Amkor Powertech Group (includes Greatek) Innolux are all expanding investments building new plants. $NVDA $ASX $TSM #Semiconductors"
X Link 2025-09-09T00:46Z 40.7K followers, 3390 engagements

"Rumor: DRAM memory chip prices are surging in this the traditional peak season for electronics demand with 4th quarter (Q4) prices expected to spike 20%-50% over Q3 due to strong demand and tight supplies media report. Taiwan DRAM maker Nanya Technologys contract prices for DDR4 DRAM in Q3 soared XX% versus Q2 and its Q4 contracts are up XX% again. Winbond Electronics saw Q3 DDR4 prices rise XX% Q4 up 20%. $HXSCL $MU $SSNLF #SKhynix #Samsung #semiconductors"
X Link 2025-09-11T01:14Z 40.7K followers, 25K engagements

"Orders for Nvidia GB300 AI servers are so big they are unimaginable said the head of Quanta Computers AI server business Mike Yang media report adding AI server shipments will peak in the 4th quarter this year while the 3rd quarter remains a transition period between old and new (GB300) products. 1/2 $NVDA $TSM $AVGO #semiconductors #GB300 #AIservers"
X Link 2025-09-13T06:22Z 40.7K followers, 125.5K engagements

"ASE Technology the chip packaging giant plans to install a fully automated 310x310mm PLP (Panel-Level-Packaging) advanced packaging trial production line and has asked for delivery by year-end from equipment makers and materials vendors media report. $ASX #Semiconductors"
X Link 2025-09-17T01:17Z 40.7K followers, 6871 engagements

"Nvidia supplier Ibiden the Japan semiconductor materials giant plans to expand production of key substrates needed for AI chip advanced packaging to a total of X facilities from X now by the end of March 2026 Nikkei Asia reports citing CEO Koji Kawashima as the company expects demand for substrates used in AI servers to nearly double this fiscal year versus last year. $NVDA #Ibiden #semiconductors"
X Link 2025-09-22T00:56Z 40.6K followers, 8968 engagements

"Rumor: Strong demand for AI servers led Dell to add Compal Electronics as a new server partner in Taiwan media report adding Dell has placed orders worth hundreds of billions of Taiwan dollars (NT$100 billion = US$3.3 billion) with deliveries to begin in the 2nd half of the year. Dell is cited as the reason for Compals US$300 million investment in a North America server factory. 1/2 $DELL $NVDA #compal"
X Link 2025-09-24T01:29Z 40.6K followers, 7200 engagements

"Googles latest Axion CPU chip will be manufactured using TSMCs 3nm process technology and aided by Taiwans Global Unichip a custom ASIC chip designer media report. Googles latest AI server chip the TPU V7P is designed with partner Broadcom and also uses TSMC 3nm. $GOOGL $TSM $ARM $AVGO #semiconductors"
X Link 2025-10-21T01:23Z 40.8K followers, 15.8K engagements

"Taiwans export orders in September rose XXXX% to US$70.22 billion a record high for a single month on red-hot demand for AI related goods media report noting 2025 could top 2021 ($674.1 billion) as the best year ever for export orders. 1/2 $TSM $ASX #Foxconn"
X Link 2025-10-22T00:30Z 40.6K followers, 4332 engagements

"The global AI data center buildout continues to strain supply chains with PCBs (printed circuit boards) and a host of related materials now in short supply media report. PCB supplies in particular are expected to remain tight in 2026. 1/5 #AIservers"
X Link 2025-10-27T01:48Z 40.7K followers, 13.2K engagements

"Taiwans Pegatron will begin mass production of AI servers in Texas in March 2026 including Nvidia GB300 & B300-based AI servers media report adding it bought factory and land in the Blue Springs Business Park for US$30.7 million. $NVDA #Pegatron #AIservers #GB300"
X Link 2025-10-29T05:44Z 40.7K followers, 3903 engagements

"Server DRAM contract prices (DDR5) will rise 18-23% in the 4th quarter an increase from a prior forecast of 8-13% growth as the global AI data center buildout continues to boost demand for the chips TrendForce said adding there is a strong likelihood of further upward revision. 1/2 $HXSCL $SSNLF $MU #Semiconductors"
X Link 2025-10-30T00:48Z 40.7K followers, 7352 engagements

"2/2 The market researcher said next year a X% increase in global server shipments and move to use more powerful chips (GPUs ASICs) will further boost the need for DDR5 DRAM chips.$NVDA $MU $HXSCL $SSNLF #SKhynix #Samsung #semiconductors"
X Link 2025-10-30T00:48Z 40.7K followers, 2810 engagements

"Samsung Electronics 3rd quarter earnings Revenue +9% year-on-year to XXXX trillion (US$60.3 Bln) Operating profit XXXX trillion (10.1T expected) Chip division revenue +13% to 33.1T Chip operating profit 7.0T 1/10 $SSNLF $HXSCL $MU $NVDA #semiconductors #Samsung"
X Link 2025-10-30T08:38Z 40.7K followers, 4828 engagements

"Intel is in talks to acquire SambaNova Systems the AI chip developer in a deal likely below its US$5 billion valuation from a 2021 funding round Bloomberg reports. Intel CEO Lip-Bu Tan is SambaNovas executive chairman. His investment firm Walden International was an early investor. Intel Capital is an investor in SambaNova. $INTC #semiconductors"
X Link 2025-10-31T00:17Z 40.6K followers, 30.3K engagements

"ASE Technology said 2025 advanced semiconductor packaging & testing revenue will hit US$1.6 billion and in 2026 grow XX% on strong demand for GPU/ASIC AI chips media report citing the CFO on ASEs Q3 call. He said ASE will raise 2025 capex by $X billion primarily for wafer testing. ASE expects packaging/test revenue to rise XX% this year up from an earlier XX% estimate. (Note: 2025 capex likely US$4-$5 billion). $ASX $KLAC $AMAT $NVMI $CAMT #semiconductors"
X Link 2025-10-31T01:35Z 40.7K followers, 11.1K engagements

"Rumor: New leadership at China chip foundry Hua Hong Semiconductor are hiking prices on mature chip manufacturing media report reversing what had been fierce price competition led by overcapacity in China. Taiwan foundries say downward price pressures remain on some mature processes. $UMC $TSM #VIS #PSMC #SMIC #semiconductors"
X Link 2025-11-05T00:26Z 40.6K followers, 8746 engagements

"Taiwan memory chip maker Winbond Electronics sees capex at NT$7.5 billion this year and over NT$40 billion (US$1.3 billion) between 2026 and 2027 as it expands capacity for NAND Flash and Customized Memory Solution (CMS) media report. $KLAC $LRCX $AMAT $TOELY #Semiconductors"
X Link 2025-11-06T00:00Z 40.7K followers, 5931 engagements

"Wiwynn will begin AI server production at its first US plant (El Paso Texas) this December media report citing Chair Emily Hong. Staff from Wiwynns Mexico operations will be at the facility to help ramp up production quickly to meet massive orders from clients she said. The Taiwan companys Mexico and Texas plants are within 30-minutes of each other. Wiwynn builds servers mainly for giant cloud service providers (CSPs). $AMZN $GOOGL $MSFT $META #AIservers"
X Link 2025-11-07T00:35Z 40.7K followers, 3725 engagements

"Alchip the ASIC chip design firm will return to strong growth next year after a big cloud service provider client begins mass production of its 3nm AI chip in the 2nd quarter media report citing Chairman Johnny Shen. Alchip said 3rd quarter revenue fell XXXX% year-on-year to NT$6.57 billion and net profit fell XXXX% to NT$1.33 billion as a big US clients 5nm AI chip life cycle ended earlier this year. $AVGO $MRVL $AMZN"
X Link 2025-11-07T01:09Z 40.7K followers, 4914 engagements

"@rwang07 Interesting question. If Jensen built a fully automated factory staffed only by robots then perhaps Wistron and others aren't so important"
X Link 2025-11-13T04:04Z 40.6K followers, 3337 engagements

"Gigabyte Technology a smaller yet prominent AI server maker in Taiwan said shipments of Nvidia GB200 and GB300-based servers have been smooth and GB-based servers will account for XX% of its AI server revenue in the 4th quarter media report adding it forecast double-digit shipment growth for AI servers in 2026 as it has strong visibility through end-2026. AI servers will make up XX% of company revenue this year and XX% of server business revenue. $NVDA $AMD $AVGO #AIservers #Semiconductor"
X Link 2025-11-15T05:31Z 40.6K followers, 5017 engagements

"China's strategy of hiring away South Korean semiconductor talent has paid off as ChangXin Memory Technologies (CXMT) debuted advanced DDR5 and LPDDR5X chips with similar performance to chips from Korean giants SK Hynix Samsung at the IC China 2025 exhibition media report adding CXMT was focused on prior-gen DDR4 until the Chinese government began driving premium DRAM development early this year. Mass production of the chips is expected to begin next year. $HXSCL $MU $SSNLF #SKhynix #Samsung #Semiconductors"
X Link 2025-11-25T02:08Z 40.6K followers, 19.3K engagements

"ASE Technology announced X more expansion projects in Taiwan as it rushes to build advanced semiconductor packaging capacity to meet demand for AI chips media report spending NT$4.32 billion for land in an industrial park in Chungli north Taiwan and a joint development project in Kaohsiung (Nanzih) south Taiwan. Last month ASE broke ground on its K18B plant. $ASX $AMKR #Semiconductors"
X Link 2025-11-25T02:09Z 40.8K followers, 5104 engagements

"SK Hynix and 7-Eleven launched an "HBM Chips" snack in South Korea: #SKhynix"
X Link 2025-11-26T04:06Z 40.7K followers, 29.4K engagements

"Apple may top Samsung as the worlds biggest smartphone maker in 2025 its first title since 2011 due to strong demand for iPhone XX and a strong replacement cycle media report citing Counterpoint Research. Apple is expected to hold the title through 2029. $AAPL $SSNLF #Samsung #iPhone"
X Link 2025-11-26T04:07Z 40.7K followers, 5467 engagements

"SK Hynix has recorded XXXX trillion won (US$38.7 billion) in exports of advanced memory chips through end 3rd quarter (Q3) this year up from XXXX trillion for all 2024 media report adding of South Koreas US$185 billion in Q3 exports advanced memory chips were $XXXX billion. SK Hynix primarily supplies Nvidia with cutting-edge HBM memory chips. $NVDA $HXSCL $MU $SSNLF #Semiconductors"
X Link 2025-11-26T04:14Z 40.6K followers, 11.3K engagements

"Google TPU chip mania lifted Taiwan smartphone chip and Custom ASIC developer MediaTek stock to its upper limit (10% on the Taiwan Stock Exchange) media report after news Meta might buy $billions of Google TPUs prompted investors to buy MediaTek which is said to be Googles ASIC design partner on a TPU project. $GOOGL $AVGO #Mediatek #semiconductors"
X Link 2025-11-27T01:13Z 40.7K followers, 26.2K engagements

"TSMC is manufacturing the latest premium smartphone chip by Qualcomm the Snapdragon X Gen X with a 3nm process (N3P) media report and XX handset makers have chosen the chip for their latest premium smartphones including Motorola Vivo Meizu iQQQ more. $TSM $QCOM $MSI #Semiconductors"
X Link 2025-11-27T01:14Z 40.7K followers, 5664 engagements

"South Korean cloud giant Naver is buying crypto firm Dunamu (Upbit) in a US$10.3 billion deal media report and will then invest XX trillion won (US$6.8 billion) in AI and blockchain technology to create new fintech and AI applications. #Naver #Dunamu #Upbit #Korea #AI"
X Link 2025-11-27T01:16Z 40.7K followers, 8674 engagements

"Prices of the electronic material CCL (copper clad laminate) are on the rise in step with surging copper prices media report with Nan Ya Plastics hiking prices X% and rivals Taiwan Union ITEQ Corp. raising prices in tandem as they see no room for copper prices to fall with the metals widespread use in data centers and electric vehicles. CCL is a key material used in printed circuit boards (PCBs)"
X Link 2025-11-28T01:13Z 40.7K followers, 4526 engagements

"Japan and the US are in talks to build a NAND Flash memory chip fab in the US a public/private partnership with SanDisk and Japanese partner Kioxia seen as primary investors media report in part due to rising demand for memory chips for AI-related demand. $SNDK #Kioxia #semiconductors"
X Link 2025-11-28T01:31Z 40.6K followers, 13.9K engagements

"Google TPU fever sent shares of Taiwans MediaTek up XX% last week its best weekly gain in 23-years media report as Googles success with the AI chips casts a glow on suppliers. MediaTek is one of Googles TPU chip design partners. $GOOGL $AVGO #mediatek #semiconductors"
X Link 2025-11-29T11:48Z 40.7K followers, 12.1K engagements

"Taiwan authorities have approved the seize of over NT$2 billion (US$64 million) worth of assets owned by the former TSMC executive accused of taking 2nm trade secrets to Intel including TSMC stock worth NT$1.8 billion media report adding Intel said it fully supports its new hire and denies wrongdoing. $TSM $INTC #Semiconductors"
X Link 2025-11-29T11:49Z 40.7K followers, 148.4K engagements

"2/10 Question is has Marvell taken care of the business that started it all and keeps cash flowing in so it can pursue other businesses The chip design giant has focused on Custom ASICs for AI data centers an also-ran to giant Broadcom. $MRVL $AVGO"
X Link 2025-11-30T10:59Z 40.7K followers, 1564 engagements

"7/10 Marvell rival Phison recently said total PCIe SSD controller chip shipments soared XXX% year-on-year in the month of October and monthly revenue rose XX% to NT$7.1 billion. If Marvells smaller rivals see such strong business should we not expect the same from Marvell"
X Link 2025-11-30T11:01Z 40.6K followers, 1083 engagements

"8/10 New technologies look just as interesting. High Bandwidth Flash (HBF) memory is a new technology being pursued by a number of giants including SanDisk and SK Hynix. Where is Marvell in HBF"
X Link 2025-11-30T11:01Z 40.6K followers, 1082 engagements

"10/10 These are the types of questions that could make Marvells 3rd quarter conference on Tuesday one of the best of the season. Unless of course all investors want to hear about is the Custom ASICs unit"
X Link 2025-11-30T11:02Z 40.6K followers, 1070 engagements

"Links: All figures in the 5/10 graphic are from Marvell Technology financial filings:"
X Link 2025-11-30T11:02Z 40.6K followers, 4605 engagements

"Nvidia is TSMCs first client for the A16 process (1.6nm) with volume production expected in 2027 in Kaohsiung south Taiwan media report adding it appears Apple will skip A16 and go directly to A14 (1.4nm) from 2nm. TSMC is also aggressively expanding 3nm capacity due to big Nvidia orders. 1/3 $NVDA $AAPL $AMAT $LRCX $ASML $KLAC $TOELY #Semiconductors"
X Link 2025-11-30T23:57Z 40.7K followers, 55K engagements

"2/3 The report also confirms TSMCs plans to build three additional 2nm fabs in Taiwan to keep up with brisk demand citing industry insiders that say TSMCs 2026 capex could reach US$48-$50 billion from $40-$42 billion this year. $TSM $AMAT $LRCX $ASML $KLAC $TOELY #Semiconductors"
X Link 2025-11-30T23:57Z 40.6K followers, 4199 engagements

"Google is working with Foxconn on TPU servers media report as the Taiwan assembly giant won big orders for compute trays for Google AI servers. Each Google AI server is divided into X cabinets one with TPUs the other the compute tray. Foxconn will also work with Googles robotics company Intrinsic to build future AI robot factories. $GOOGL $META $NVDA #Foxconn #AIservers #TPU"
X Link 2025-11-30T23:58Z 40.6K followers, 16.6K engagements

"Before Marvell's storage unit was folded into data center its top X customers were Western Digital Toshiba and Seagate while WT Micro was major distributor. Samsung was a major Marvell customer for about the first decade Marvell was in business then fades from the record. Are you sure about Amazon I don't know know why they's effort into a chip they can buy off the shelf from several firms. And I found no reference to them having a flash controller chip. Never heard of SandForce. Makes sense that Samsung SK Hynix Micron make their own controller chips"
X Link 2025-12-01T00:19Z 40.7K followers, XXX engagements

"TSMC Chairman C.C. Wei is in China for the chip giants Open Innovation Platform Ecosystem Forum (OIP) in Nanjing on Dec. X and will meet with Alibaba and other local chip designers media report. TSMC held its annual Technology Forum in Shanghai in June. $TSM $BABA #semiconductors"
X Link 2025-12-02T01:36Z 40.7K followers, 6937 engagements

"@jukan05 Since SK Hynix and Micron have both already announced their 2026 HBM4 and HBM3E are already fully sold out who else is there to supply Broadcom other than Samsung"
X Link 2025-12-03T03:59Z 40.6K followers, 1892 engagements

"US senators from both major parties are working on a bill to block Nvidia from selling advanced chips to China for XX months as part of efforts to keep American AI technology out of Beijings hands the FT reports noting The Secure and Feasible Export Chips Act would prevent Nvidia from selling H200s or Blackwells to China. Senator John Kennedy said Nvidias CEO is not a credible source on what the US should export to China as he simply wants to sell more chips. 1/2 $NVDA $AMD $INTC $TSM #Semiconductors"
X Link 2025-12-05T02:18Z 40.7K followers, 8935 engagements

"Foxconn the AI server and iPhone assembly giant said AI server shipments continued to ramp up in November and visibility for the 4th quarter is better than last month with overall performance roughly in line with market expectations. November revenue rose XXXX% year-on-year to NT$844.3 billion (US$26.9 billion) but fell XXX% from October as demand for smart consumer electronics (iPhone category) fell slightly. The pre-holiday build is winding down. Jan-Nov revenue rose XXXX% to NT$7.24 trillion. $NVDA $AAPL $AMD $AVGO $AMZN $GOOGL $META $MSFT $DELL $ORCL #AIservers #Semiconductors"
X Link 2025-12-05T08:47Z 40.7K followers, 4878 engagements

"Strong demand for Nvidia Blackwell-based AI servers sent August revenue at Taiwan's Big X makers up a combined XXXX% year-on-year to NT$1.11 trillion (US$36.6 billion). 1/7 $NVDA $TSM $AVGO $AMD $SMCI #AIservers #Foxconn #Quanta #Wistron #Inventec #Wiwynn #Gigabyte"
X Link 2025-09-14T06:23Z 40.7K followers, 35.1K engagements

"TSMC is aggressively expanding advanced chip packaging capacity to meet explosive AI related demand media report noting TSMC held an equipment move-in ceremony at AP7 on Thursday its new P2 plant in Chiayi south Taiwan. The plant faced a number of delays after ancient artifacts were found at the site and later construction accidents. TSMCs AP8 facility in nearby Tainan also recently began equipment move-in at its P2 plant. AP8 does CoWoS work while AP7 does CoWoS as well as WMCM SoIC and CoPoS. $TSM #semiconductors #semiconductor"
X Link 2025-12-05T02:15Z 40.7K followers, 12.3K engagements

"Unimicron a major PCB and IC substrate maker (ABF BT) raised its 2025 capex to NT$20.6 billion (US$700 million) from NT$18.6 billion and estimated next years capex at NT$19.4 billion citing customer demand and market conditions media report noting tight supplies and rising prices for ABF and BT substrates used in chip packaging for AI and other chips. $NVDA #semiconductors #semiconductor"
X Link 2025-07-30T01:50Z 40.7K followers, 5428 engagements

"Price hikes on a range of materials used in semiconductor production including ABF and BT substrates T-Glass more have boosted Taiwan makers amid tight supplies and capacity media report with Unimicron Kinsus Interconnect and Nanya PCB all reporting monthly and annual revenue growth in October. Kinsus +39.8% and Nanya PCB +41.7% reported the strongest year-on-year revenue growth. Substrate prices are set to move higher as the latest AI chips require substrate size and layer count increases. $NVDA $AMD $AVGO #semiconductors"
X Link 2025-11-11T01:07Z 40.7K followers, 5560 engagements

"Panasonic notified clients it will hike tantalum capacitor prices by up to XX% from Feb. X 2026 media report as passive component prices continue to rise amid the buildout in AI data centers. Chinas FengHua and Taiwans Yageo have also announced price hikes recently. Tantanlum capacitors are widely used in AI servers placed near the main AI chips (Nvidia GPUs) CPUs and HBM memory to ensure stable power. #capacitors #semiconductors #AIservers"
X Link 2025-11-28T01:14Z 40.7K followers, 6755 engagements

"Marvell may have the most interesting 3rd quarter report of the season on Tuesday (12/2). A global memory chip shortage has sent prices rocketing and Marvell is king of memory/storage controller chips. 1/10 $MRVL $SNDK $SIMO $RMBS $WDC $STX $MU"
X Link 2025-11-30T10:58Z 40.8K followers, 25.1K engagements

"Rumor: Google has asked AI server suppliers to speed up deliveries due to the popularity of Gemini X which launched Nov. XX media report adding Googles AI server suppliers in Taiwan including Foxconn Quanta Inventec and others are expected to benefit. $GOOGL #Gemini3 #AIservers"
X Link 2025-12-02T02:14Z 40.8K followers, 71.7K engagements

"SK Hynix will invest XXX trillion won (US$407 billion) in its Yongin semiconductor campus in South Korea media report up from an original plan of XXX trillion or XX trillion each for four fabs. Bigger fabs and expensive production line equipment are key reasons for the change as the clean room area of the first fab already being built was expanded by over 50%. Bigger clean rooms can hold more production machinery such as ASML EUV lithography machines but also command high prices. SK Hynix sees expansion as necessary to maintain its dominance in AI memory chips. Its monthly DRAM production is"
X Link 2025-12-06T05:41Z 40.8K followers, 37.7K engagements

"Nvidia has booked over half of TSMCs CoWoS advanced packaging capacity for the next 2-years even as TSMC aggressively expands media report citing equipment suppliers. Nvidias orders do not include potential H200 sales to China. 1/5 $NVDA $TSM $ASX $AMKR $UMC $AVGO $AMD $TOELY $KLAC $AMAT 2/5 TSMCs planned CoWoS capacity has been raised to about 127000 wafers per month (wpm) by end-2026 on strong demand from GPU and ASIC clients while rivals including ASE Amkor UMC have raised to 40000wpm from 26000 previously. 3/5 TSMC Arizonas X planned advanced packaging plants are expected to begin mass"
X Link 2025-12-10T01:19Z 40.8K followers, 9830 engagements

"ASE Technologys advanced packaging revenue will grow XX% in 2026 to around US$2.6 billion from $XXX billion this year media report adding its CoWoS-rival technology FOCoS (Fan-Out Chip-on-Substrate) will see more substantial revenue from the 2nd half 2026 as it wins over more American European clients. $ASX $AMKR #Semiconductors"
X Link 2025-12-10T02:13Z 40.8K followers, 3266 engagements

"TSMCs revenue in November rose XXXX% year-on-year to NT$343.61 billion (US$11.23 B) its second highest single month ever. November revenue was down XXX% from October its best month ever at NT$367.47 B. 1/3 $TSM $AAPL $NVDA $AMD $AVGO $QCOM #semiconductors #semiconductor"
X Link 2025-12-10T05:42Z 40.8K followers, XXX engagements

"TSMCs revenue in November rose XXXX% year-on-year to NT$343.61 Bln (US$11.23 B) its third highest single month ever. November revenue was down XXX% from October its best month ever at NT$367.47 B. 1/3 $TSM $AAPL $NVDA $AMD $AVGO $QCOM #semiconductors #semiconductor"
X Link 2025-12-10T05:45Z 40.8K followers, 1640 engagements

"Rumor: Dell has ordered AI server compute trays from Taiwan's Wistron with shipments of 5000 server racks this year potentially tripling to 12000 15000 racks in 2026 media report adding Wistron also joined Ciscos CSP ASIC switch supply chain. $DELL $CSCO #Wistron #AIservers"
X Link 2025-10-01T02:04Z 40.8K followers, 7802 engagements

"2/5 Taiwans Unimicron and Zhen Ding Technology see shortages of materials/components for ABF and BT substrates easing next year while Unimicrons chairman said the CCL shortage as less severe likely to ease rapidly in the 3rd quarter 2026 media report. Shortages of fiberglass cloth quartz cloth copper foil and Low CTE materials will go on for another year. Meanwhile industry is seeking alternative materials"
X Link 2025-10-27T01:48Z 40.7K followers, 1314 engagements

"Nvidia CEO Jensen Huang highlighted four Taiwan partners working to bring manufacturing back to the US in an interview with Fox News including cutting-edge chip production by TSMC AI servers by Foxconn and & Wistron and an advanced chip testing plant in Arizona by ASE (or subsidiary SPIL) media report. $NVDA $TSM $ASX #Foxconn #Wistron #AIservers #semiconductors"
X Link 2025-11-04T02:09Z 40.7K followers, 6301 engagements

"1/5 Japan has every right to defend itselfand every reason to be terrified. For decades Beijing has fueled anti-Japanese hatred through endless kill the Japanese devils TV shows and movies patriotic education campaigns and state media. The result: millions genuinely believe Japan is an eternal enemy that still owes a blood debt from WWII. It isnt education; its incitement to hate. 2/5 Proof Look at the escalations: Nov 2025: Chinas Consul General in Osaka posts a death threat on X against Japan PM Takaichi vowing to cut off her filthy neck over her Taiwan stance. 2024 Shenzhen stabbing: A"
X Link 2025-11-25T07:49Z 40.8K followers, 60.5K engagements

"Nvidia CEO Jensen Huang is in Taipei with his wife and daughter on a quiet Thanksgiving trip media report his 5th visit to Taiwan this year and just a few weeks after attending TSMCs Sports Day (11/7). He will reportedly visit TSMC founder Morris Chang. Jensen was seen at favorite eateries; Flower Restaurant () in Taipei and a Siping Street preserved fruit seller. $NVDA $TSM #Semiconductors"
X Link 2025-11-28T01:10Z 40.8K followers, 330.2K engagements

"Rumor: TSMC Arizona may start building an advanced semiconductor packaging plant ahead of schedule on land currently set aside for fab P6 media report with equipment installation possible by end-2027 if construction is smooth. TSMC had originally partnered with Amkor to provide advanced packaging when its Arizona facility is ready but thats not expected to be until 2028. $TSM $AMKR $NVDA $AAPL $AMD #Semiconductors #semiconductor"
X Link 2025-12-04T00:43Z 40.8K followers, 28.3K engagements

"Google may have to wait to ramp up TPU output as TSMCs CoWoS advanced packaging wont substantially increase until 2027 media report adding Googles TPU chip output will double at that point. TSMC CoWoS is the key bottleneck for Google TPUs now and the reason forecasts of X million units next year are wrong. Morgan Stanley estimates Google TPU production could hit X million in 2027. $GOOGL $TSM #Semiconductors"
X Link 2025-12-05T02:17Z 40.8K followers, 138.7K engagements

"US Commerce Sec. Lutnick said Taiwan should invest more than US$300 billion in the US in addition to helping train US workers in advanced semiconductor production media report as the Trump administration works to rebuild advanced semiconductor manufacturing in the US"
X Link 2025-12-05T02:19Z 40.8K followers, 36.5K engagements

"Rumor: TSMC is facing a massive surge in orders for CoWoS advanced semiconductor packaging even as CoWoS-L and CoWoS-S remain fully booked media report with orders mainly for AI chips from Nvidia Google Amazon and MediaTek. TSMC has turned to outsourcing CoWoS production to partners like ASE to help meet client needs. $TSM $NVDA $ASX $GOOGL $AMZN $AVGO $AMD"
X Link 2025-12-08T00:21Z 40.8K followers, 73.4K engagements

"TSMCs overflow of CoWoS advanced packaging orders is turning into a bonanza for ASE Technology via outsourcing media report noting ASE and subsidiary SPIL have spent NT$11.2 billion (US$360 M) the past 2-months on plants & equipment to prepare for the influx of TSMC orders. ASEs advanced packaging revenue is expected to hit US$1.6 billion this year and increase by over $X billion more in 2026. $TSM $ASX $AMKR #Semiconductors"
X Link 2025-12-08T00:22Z 40.8K followers, 9599 engagements

"Googles TPU chips lead production in the global supply chain against all other ASICs (NOT Nvidia AMD) and will lead through 2027 though TPUs face serious bottlenecks in CoWoS advanced packaging and memory chips media report noting Google and Nvidia are seen as the X biggest memory chip hoarders and there have been rumors Google might use Intel EMIB packaging. $GOOGL $NVDA $AVGO $MRVL $INTC #Semiconductors"
X Link 2025-12-09T00:58Z 40.8K followers, 7981 engagements

"Nvidias H200 AI chip and similar from AMD Intel others can be sold to approved customers in China and the US will collect XX% on every sale media report citing President Trump. 1/3 $NVDA $AMD $INTC #Semiconductors"
X Link 2025-12-09T01:00Z 40.8K followers, 4914 engagements

"A few issues if Nvidia is to resume H200 sales to China: X. H200 is made on TSMC's N4 production process which is currently fully utilized. X. H200 uses TSMC CoWoS advanced packaging also fully utilized. X. H200 was made for much more powerful HBM3e AI memory chips currently restricted by US for sale to China. Easy to change regulations but. HBM3e open as well now"
X Link 2025-12-09T01:51Z 40.8K followers, 51.2K engagements

"3/5 TSMC Arizonas X planned advanced packaging plants are expected to begin mass production in 2028 one after the other with plant X focused on SoIC and CoW and plant X is for CoPoS"
X Link 2025-12-10T01:20Z 40.8K followers, XXX engagements

"4/5 Broadcom is TSMCs 2nd biggest client for CoWoS AMD is 3rd and MediaTek is new for 2026. Broadcom is expected to get 240000 wafers capacity in 2026 with 20000 wafers for MediaTek"
X Link 2025-12-10T01:20Z 40.8K followers, 1571 engagements

creator/x::dnystedt
/creator/x::dnystedt