[GUEST ACCESS MODE: Data is scrambled or limited to provide examples. Make requests using your API key to unlock full data. Check https://lunarcrush.ai/auth for authentication information.] #  @lithos_graphein ๐ฟ lithos ๐ฟ lithos posts on X about asml, over the, china, all the the most. They currently have XXXXXX followers and 1328 posts still getting attention that total XXXXXX engagements in the last XX hours. ### Engagements: XXXXXX [#](/creator/twitter::1588235975800619008/interactions)  - X Week XXXXXXX +1,246% - X Month XXXXXXX +1,532% - X Months XXXXXXX -XX% - X Year XXXXXXXXX +54% ### Mentions: XX [#](/creator/twitter::1588235975800619008/posts_active)  - X Month XX +413% - X Months XXX -XX% - X Year XXX +11% ### Followers: XXXXXX [#](/creator/twitter::1588235975800619008/followers)  - X Week XXXXXX +4.60% - X Month XXXXXX +5.30% - X Months XXXXXX +8.30% - X Year XXXXXX +72% ### CreatorRank: XXXXXXX [#](/creator/twitter::1588235975800619008/influencer_rank)  ### Social Influence [#](/creator/twitter::1588235975800619008/influence) --- **Social category influence** [technology brands](/list/technology-brands) [countries](/list/countries) [stocks](/list/stocks) [finance](/list/finance) **Social topic influence** [asml](/topic/asml) #24, [over the](/topic/over-the) #3024, [china](/topic/china) #4204, [all the](/topic/all-the), [money](/topic/money), [bow](/topic/bow), [$7731t](/topic/$7731t), [texas instruments](/topic/texas-instruments), [samsung](/topic/samsung), [south korea](/topic/south-korea) **Top assets mentioned** [Texas Instruments (TXN)](/topic/texas-instruments) [JPMorgan Chase (JPM)](/topic/jpmorgan-chase) ### Top Social Posts [#](/creator/twitter::1588235975800619008/posts) --- Top posts by engagements in the last XX hours "Thanks for the fan mail. But comparing semi lithography to EVs is like comparing semi lithography to catching a giant bottle rocket with chop sticks" [X Link](https://x.com/lithos_graphein/status/1979298023206167013) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-17T21:26Z 14.4K followers, 18.1K engagements "@teortaxesTex Thank you for calling me out as not anti China. I appreciate that. It's so hard to get across sometimes" [X Link](https://x.com/lithos_graphein/status/1979323170453614997) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-17T23:06Z 14.4K followers, 5914 engagements "1/16 ๐งต A History of ASMLs Scanners Enabling the AI Revolution with chip printersthe most complex machines ever built" [X Link](https://x.com/lithos_graphein/status/1979652289708364226) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T20:54Z 14.4K followers, 139.7K engagements "3/16 Scanner Platforms When we think of the different generations of lithography tools that have been developed over the years we often associate each generation with the light source. Generally speaking the smaller the wavelength of the source the smaller the circuit patterns we can print. But in reality this is only part of the puzzle. The true ROI for a scannera fab needs to make money with these machines after allcan be distilled down to the overlay specification (Cols J-L) and the throughput (Cols N/P). Overlay is how accurately the scanner can place circuit patterns on top of each other" [X Link](https://x.com/lithos_graphein/status/1979652756307951985) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T20:56Z 14.4K followers, 7989 engagements "14/16 The Chip War Advanced lithography tools are at the heart of the chip war. Indeed the first salvo fired over the bow was the banning of ASMLs EUV scanners from export to China. This was followed by X export actions blocking the most advanced DUV immersion systems made by both ASML and Nikon. The response was expected and immediate. A handful of Chinese SMEs would enter into the scanner building business to make tools that would replace the banned systems. Weve seen a lot of speculation about how long this would take to do. Most of the arguments Ive seen revolve around Chinas great" [X Link](https://x.com/lithos_graphein/status/1979654926251769889) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T21:04Z 14.4K followers, 18.9K engagements "EUV in the Wild: TSMC Arizona. Notice anything that's off ๐ญ" [X Link](https://x.com/lithos_graphein/status/1980039725567393957) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-19T22:33Z 14.4K followers, 37K engagements "@teortaxesTex I mean commercial viability was my point. I was pointing out that most ppl think that chip lithography is just printing something smaller. In fact that's easy to do. What's really hard to do is printing something at the best ROI" [X Link](https://x.com/lithos_graphein/status/1979679350955053499) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T22:41Z 14.4K followers, XXX engagements "Texas Instruments just released their latest DLP mirror array for maskless lithography. It can pattern wafer-level features down to submicron scale. This could be enabling for customized chip packaging layouts where different parts could be selected and the interconnects to link them together can be patterned digitally without the need for a physical mask" [X Link](https://x.com/lithos_graphein/status/1973057747177320921) [@lithos_graphein](/creator/x/lithos_graphein) 2025-09-30T16:09Z 14.4K followers, 1896 engagements "200mm 300mm GaN. AIXTRON GlobalFoundries KLA Corporation Synopsys and Veeco are the first program partners" [X Link](https://x.com/lithos_graphein/status/1975328244783325275) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-06T22:32Z 14.4K followers, 1820 engagements ""80% of the Cloud is HDD"" [X Link](https://x.com/lithos_graphein/status/1977915960053969125) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-14T01:54Z 14.4K followers, 12.6K engagements "Lasertec's new high-NA EUV mask inspection tool will also use a larger anamorphic lens to match ASML's scanner. Source: August 12th Samsung Electronics Dr. Min Cheol-gi's presentation slides at the 2024 Next Generation Lithography + Patterning Conference in Suwon South Korea" [X Link](https://x.com/lithos_graphein/status/1825217398980423738) [@lithos_graphein](/creator/x/lithos_graphein) 2024-08-18T17:05Z 14.4K followers, 14.9K engagements "3 new tools but. "Kinex is the industrys first integrated die-to-wafer hybrid bonding system." The other two are iterations on their Centura Epi and ProVision defect inspection platforms" [X Link](https://x.com/lithos_graphein/status/1975681192314757315) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-07T21:54Z 14.4K followers, 1606 engagements "ASML's NXE:3800E EUV scanner in the wild at Intel Fab XX Chandler. ๐" [X Link](https://x.com/lithos_graphein/status/1977533109458358283) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-13T00:33Z 14.4K followers, 31.2K engagements "Idk why ASML hasn't made a China specific immersion tool. There is no export control for through-put and its one of two most important specs on the machine in terms of ROI" [X Link](https://x.com/lithos_graphein/status/1977534584653750654) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-13T00:39Z 14.4K followers, 6521 engagements "JP Morgan financed WW1. ๐ "JPMorganChase today announced the Security and Resiliency Initiative a $XXX trillion 10-year plan to facilitate finance and invest in industries critical to national economic security and resiliency."" [X Link](https://x.com/lithos_graphein/status/1978227646241665045) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-14T22:33Z 14.4K followers, 2119 engagements "New technical vid from LAM on Mo ALD. Link to follow. Mo films will replace W in Flash memory" [X Link](https://x.com/lithos_graphein/status/1978949574120054858) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-16T22:22Z 14.4K followers, 2307 engagements "2/16 The List Here we have my list of all the ASMLs scanner models installed over the past XX years. Its not an exhaustive list; Im sure Ive missed a few but its what I was able to put together based on the tools Ive run (which is most of them) or models my friends have told me theyve used. As you can see by the super small font I had to use here its a big list of around XX different tool models. Lets do a deeper dive on this history in this thread and how we got to the latest EUV tools being installed today in the most advanced chip factories around the world" [X Link](https://x.com/lithos_graphein/status/1979652521363747189) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T20:55Z 14.4K followers, 9258 engagements "4/16 Scanner Platforms Continued ASML has developed X scanner platforms over the years and each platform had a series of tool models released where iterative improvements were made to both the overlay and throughput such that fabs could get improved ROI on their lithography investments. Each new generation of the platform allowed for major leaps in the ROI. PAS 5500 Platform: This platform is what put ASML on the map back in the early 1990s and these tools are still in use today and fully serviced by ASML. It was a single-wafer stage system (more on this later) and there were actually two" [X Link](https://x.com/lithos_graphein/status/1979652989939060761) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T20:57Z 14.4K followers, 7229 engagements "7/16 TWINSCAN NXT Platform: The NXT platform made a big change to how the wafer stages fundamentally work. The prior stage generations relied on the stages to be suspended over a flat backplane using air pressure. It floats in a frictionless way and can move independently during the exposure scans. The NXT systems instead suspend the stages using magnets. As you might have guessed this enables a big improvement to our common platform theme again better ROI. The stages can accelerate and move much faster which increases the throughput while positioning accuracy is also improved" [X Link](https://x.com/lithos_graphein/status/1979653526545420393) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T20:59Z 14.4K followers, 5062 engagements "8/16 Overlay vs. Stage SpeedA Modern Marvel ASMLs scanners have a throughput of roughly 200-300 wafers per hour depending on the model. For the latest KrF scanners being developed ASML intends to push this even further to XXX wph. - At XXX wph this equates to a full wafer exposed every XX seconds. - And each individual die can be scanned in about XXX milliseconds. Keep in mind what is being printed here: circuit geometries on the order of 10s of nanometers in size with billions of individual circuit parts in each image. Its always amazed me that while operating at these scanning speeds the" [X Link](https://x.com/lithos_graphein/status/1979653819937038835) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T21:00Z 14.4K followers, 12.9K engagements "9/16 Immersion Lithography I feel obliged to briefly explain another feat of engineering that will play into my final points at the end of this thread. The latest logic and memory chips heavily rely on a new type of scanner technology called immersion lithography. In short the air gap between the lens and the wafer is replaced with water. By doing this the virtual size of the lens is increased and better resolution can be obtained. At the time this was being developed by ASML many folks in litho world thought this was crazy and every PowerPoint presentation on the topic had images of" [X Link](https://x.com/lithos_graphein/status/1979653966553104667) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T21:01Z 14.4K followers, 5823 engagements "10/16 The EUV Era Weve discussed the DUV platforms and what ASML was working on for XX years to improve their commercial viability. But as we jump into the two EUV platforms we have a new set of engineering challenges that make that development work seem simple. Whereas the DUV platforms could rely on a common set of fundamentals to be borrowed for each new source and lens type that improved the resolution EUV systems operate in a completely different wayessentially ASML had to reinvent every subcomponent not just the platform itself. I summarize the X major differences between all the prior" [X Link](https://x.com/lithos_graphein/status/1979654139891286268) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T21:01Z 14.4K followers, 4797 engagements "11/16 The EUV Development Timeline EUV lithography is the most complex and ambitious undertaking in lithography to date. It's arguably the most complex machine ever made on a commercial scale. The development for it started back in the mid-1980s and continues to this day. ASML didnt do this alone; they benefited greatly from decades of work by more than XX different organizations across the globe" [X Link](https://x.com/lithos_graphein/status/1979654272645280166) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T21:02Z 14.4K followers, 4657 engagements "12/16 Exposing Product Wafers Drives Learning Lets revisit our list of ASMLs scanner models again; refer to Column C for the tool types. As you can see the vast majority of these tool models were HVM machines running product wafers in the fabs that today can cost as much as $20000 each. Prototype tools are the first systems where basic R&D on new subcomponents can be developed. For the most part these were the early immersion and EUV systems where a big upending for how the process operated was needed. Beta tools are precursors to the HVM tools but they are not commercially viable yet to the" [X Link](https://x.com/lithos_graphein/status/1979654496860156139) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T21:03Z 14.4K followers, 3777 engagements "13/16 Lithography is Winner Take All. The graveyard of scanner companies is full. One might think this is due to how other companies fail in their line of businessa botched product launch missed timelines economies of scale. Here again lithography is a different beast. The reason is hardware matching; in short there is a yield and ROI benefit to only running one type of scanner vendor and their matching stages. The extreme degree of precision for overlay is reaching the sub-nanometer scale. At these levels each wafer chuck has a visibly different overlay signature. Indeed a common way to" [X Link](https://x.com/lithos_graphein/status/1979654699466039723) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T21:03Z 14.4K followers, 4370 engagements "@GlennLuk Dude please" [X Link](https://x.com/lithos_graphein/status/1979684863457603619) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-18T23:03Z 14.4K followers, 22.1K engagements "TSMC is negotiating with ASML on that 2nm VPA. ๐" [X Link](https://x.com/lithos_graphein/status/1980041286934786072) [@lithos_graphein](/creator/x/lithos_graphein) 2025-10-19T22:40Z 14.4K followers, 9132 engagements
[GUEST ACCESS MODE: Data is scrambled or limited to provide examples. Make requests using your API key to unlock full data. Check https://lunarcrush.ai/auth for authentication information.]
๐ฟ lithos posts on X about asml, over the, china, all the the most. They currently have XXXXXX followers and 1328 posts still getting attention that total XXXXXX engagements in the last XX hours.
Social category influence technology brands countries stocks finance
Social topic influence asml #24, over the #3024, china #4204, all the, money, bow, $7731t, texas instruments, samsung, south korea
Top assets mentioned Texas Instruments (TXN) JPMorgan Chase (JPM)
Top posts by engagements in the last XX hours
"Thanks for the fan mail. But comparing semi lithography to EVs is like comparing semi lithography to catching a giant bottle rocket with chop sticks"
X Link @lithos_graphein 2025-10-17T21:26Z 14.4K followers, 18.1K engagements
"@teortaxesTex Thank you for calling me out as not anti China. I appreciate that. It's so hard to get across sometimes"
X Link @lithos_graphein 2025-10-17T23:06Z 14.4K followers, 5914 engagements
"1/16 ๐งต A History of ASMLs Scanners Enabling the AI Revolution with chip printersthe most complex machines ever built"
X Link @lithos_graphein 2025-10-18T20:54Z 14.4K followers, 139.7K engagements
"3/16 Scanner Platforms When we think of the different generations of lithography tools that have been developed over the years we often associate each generation with the light source. Generally speaking the smaller the wavelength of the source the smaller the circuit patterns we can print. But in reality this is only part of the puzzle. The true ROI for a scannera fab needs to make money with these machines after allcan be distilled down to the overlay specification (Cols J-L) and the throughput (Cols N/P). Overlay is how accurately the scanner can place circuit patterns on top of each other"
X Link @lithos_graphein 2025-10-18T20:56Z 14.4K followers, 7989 engagements
"14/16 The Chip War Advanced lithography tools are at the heart of the chip war. Indeed the first salvo fired over the bow was the banning of ASMLs EUV scanners from export to China. This was followed by X export actions blocking the most advanced DUV immersion systems made by both ASML and Nikon. The response was expected and immediate. A handful of Chinese SMEs would enter into the scanner building business to make tools that would replace the banned systems. Weve seen a lot of speculation about how long this would take to do. Most of the arguments Ive seen revolve around Chinas great"
X Link @lithos_graphein 2025-10-18T21:04Z 14.4K followers, 18.9K engagements
"EUV in the Wild: TSMC Arizona. Notice anything that's off ๐ญ"
X Link @lithos_graphein 2025-10-19T22:33Z 14.4K followers, 37K engagements
"@teortaxesTex I mean commercial viability was my point. I was pointing out that most ppl think that chip lithography is just printing something smaller. In fact that's easy to do. What's really hard to do is printing something at the best ROI"
X Link @lithos_graphein 2025-10-18T22:41Z 14.4K followers, XXX engagements
"Texas Instruments just released their latest DLP mirror array for maskless lithography. It can pattern wafer-level features down to submicron scale. This could be enabling for customized chip packaging layouts where different parts could be selected and the interconnects to link them together can be patterned digitally without the need for a physical mask"
X Link @lithos_graphein 2025-09-30T16:09Z 14.4K followers, 1896 engagements
"200mm 300mm GaN. AIXTRON GlobalFoundries KLA Corporation Synopsys and Veeco are the first program partners"
X Link @lithos_graphein 2025-10-06T22:32Z 14.4K followers, 1820 engagements
""80% of the Cloud is HDD""
X Link @lithos_graphein 2025-10-14T01:54Z 14.4K followers, 12.6K engagements
"Lasertec's new high-NA EUV mask inspection tool will also use a larger anamorphic lens to match ASML's scanner. Source: August 12th Samsung Electronics Dr. Min Cheol-gi's presentation slides at the 2024 Next Generation Lithography + Patterning Conference in Suwon South Korea"
X Link @lithos_graphein 2024-08-18T17:05Z 14.4K followers, 14.9K engagements
"3 new tools but. "Kinex is the industrys first integrated die-to-wafer hybrid bonding system." The other two are iterations on their Centura Epi and ProVision defect inspection platforms"
X Link @lithos_graphein 2025-10-07T21:54Z 14.4K followers, 1606 engagements
"ASML's NXE:3800E EUV scanner in the wild at Intel Fab XX Chandler. ๐"
X Link @lithos_graphein 2025-10-13T00:33Z 14.4K followers, 31.2K engagements
"Idk why ASML hasn't made a China specific immersion tool. There is no export control for through-put and its one of two most important specs on the machine in terms of ROI"
X Link @lithos_graphein 2025-10-13T00:39Z 14.4K followers, 6521 engagements
"JP Morgan financed WW1. ๐ "JPMorganChase today announced the Security and Resiliency Initiative a $XXX trillion 10-year plan to facilitate finance and invest in industries critical to national economic security and resiliency.""
X Link @lithos_graphein 2025-10-14T22:33Z 14.4K followers, 2119 engagements
"New technical vid from LAM on Mo ALD. Link to follow. Mo films will replace W in Flash memory"
X Link @lithos_graphein 2025-10-16T22:22Z 14.4K followers, 2307 engagements
"2/16 The List Here we have my list of all the ASMLs scanner models installed over the past XX years. Its not an exhaustive list; Im sure Ive missed a few but its what I was able to put together based on the tools Ive run (which is most of them) or models my friends have told me theyve used. As you can see by the super small font I had to use here its a big list of around XX different tool models. Lets do a deeper dive on this history in this thread and how we got to the latest EUV tools being installed today in the most advanced chip factories around the world"
X Link @lithos_graphein 2025-10-18T20:55Z 14.4K followers, 9258 engagements
"4/16 Scanner Platforms Continued ASML has developed X scanner platforms over the years and each platform had a series of tool models released where iterative improvements were made to both the overlay and throughput such that fabs could get improved ROI on their lithography investments. Each new generation of the platform allowed for major leaps in the ROI. PAS 5500 Platform: This platform is what put ASML on the map back in the early 1990s and these tools are still in use today and fully serviced by ASML. It was a single-wafer stage system (more on this later) and there were actually two"
X Link @lithos_graphein 2025-10-18T20:57Z 14.4K followers, 7229 engagements
"7/16 TWINSCAN NXT Platform: The NXT platform made a big change to how the wafer stages fundamentally work. The prior stage generations relied on the stages to be suspended over a flat backplane using air pressure. It floats in a frictionless way and can move independently during the exposure scans. The NXT systems instead suspend the stages using magnets. As you might have guessed this enables a big improvement to our common platform theme again better ROI. The stages can accelerate and move much faster which increases the throughput while positioning accuracy is also improved"
X Link @lithos_graphein 2025-10-18T20:59Z 14.4K followers, 5062 engagements
"8/16 Overlay vs. Stage SpeedA Modern Marvel ASMLs scanners have a throughput of roughly 200-300 wafers per hour depending on the model. For the latest KrF scanners being developed ASML intends to push this even further to XXX wph. - At XXX wph this equates to a full wafer exposed every XX seconds. - And each individual die can be scanned in about XXX milliseconds. Keep in mind what is being printed here: circuit geometries on the order of 10s of nanometers in size with billions of individual circuit parts in each image. Its always amazed me that while operating at these scanning speeds the"
X Link @lithos_graphein 2025-10-18T21:00Z 14.4K followers, 12.9K engagements
"9/16 Immersion Lithography I feel obliged to briefly explain another feat of engineering that will play into my final points at the end of this thread. The latest logic and memory chips heavily rely on a new type of scanner technology called immersion lithography. In short the air gap between the lens and the wafer is replaced with water. By doing this the virtual size of the lens is increased and better resolution can be obtained. At the time this was being developed by ASML many folks in litho world thought this was crazy and every PowerPoint presentation on the topic had images of"
X Link @lithos_graphein 2025-10-18T21:01Z 14.4K followers, 5823 engagements
"10/16 The EUV Era Weve discussed the DUV platforms and what ASML was working on for XX years to improve their commercial viability. But as we jump into the two EUV platforms we have a new set of engineering challenges that make that development work seem simple. Whereas the DUV platforms could rely on a common set of fundamentals to be borrowed for each new source and lens type that improved the resolution EUV systems operate in a completely different wayessentially ASML had to reinvent every subcomponent not just the platform itself. I summarize the X major differences between all the prior"
X Link @lithos_graphein 2025-10-18T21:01Z 14.4K followers, 4797 engagements
"11/16 The EUV Development Timeline EUV lithography is the most complex and ambitious undertaking in lithography to date. It's arguably the most complex machine ever made on a commercial scale. The development for it started back in the mid-1980s and continues to this day. ASML didnt do this alone; they benefited greatly from decades of work by more than XX different organizations across the globe"
X Link @lithos_graphein 2025-10-18T21:02Z 14.4K followers, 4657 engagements
"12/16 Exposing Product Wafers Drives Learning Lets revisit our list of ASMLs scanner models again; refer to Column C for the tool types. As you can see the vast majority of these tool models were HVM machines running product wafers in the fabs that today can cost as much as $20000 each. Prototype tools are the first systems where basic R&D on new subcomponents can be developed. For the most part these were the early immersion and EUV systems where a big upending for how the process operated was needed. Beta tools are precursors to the HVM tools but they are not commercially viable yet to the"
X Link @lithos_graphein 2025-10-18T21:03Z 14.4K followers, 3777 engagements
"13/16 Lithography is Winner Take All. The graveyard of scanner companies is full. One might think this is due to how other companies fail in their line of businessa botched product launch missed timelines economies of scale. Here again lithography is a different beast. The reason is hardware matching; in short there is a yield and ROI benefit to only running one type of scanner vendor and their matching stages. The extreme degree of precision for overlay is reaching the sub-nanometer scale. At these levels each wafer chuck has a visibly different overlay signature. Indeed a common way to"
X Link @lithos_graphein 2025-10-18T21:03Z 14.4K followers, 4370 engagements
"@GlennLuk Dude please"
X Link @lithos_graphein 2025-10-18T23:03Z 14.4K followers, 22.1K engagements
"TSMC is negotiating with ASML on that 2nm VPA. ๐"
X Link @lithos_graphein 2025-10-19T22:40Z 14.4K followers, 9132 engagements
/creator/twitter::lithos_graphein