[GUEST ACCESS MODE: Data is scrambled or limited to provide examples. Make requests using your API key to unlock full data. Check https://lunarcrush.ai/auth for authentication information.]

@semivision_tw Avatar @semivision_tw SemiVision👁️👁️

SemiVision👁️👁️ posts on X about rare earth, $6857t, open ai, taiwan the most. They currently have XXXXX followers and XXX posts still getting attention that total XXX engagements in the last XX hours.

Engagements: XXX #

Engagements Line Chart

Mentions: XX #

Mentions Line Chart

Followers: XXXXX #

Followers Line Chart

CreatorRank: XXXXXXXXX #

CreatorRank Line Chart

Social Influence #


Social category influence technology brands XXXX% stocks XXXX% countries XXXX% travel destinations XXXX% finance XXXX% automotive brands XXX% fashion brands XXX% celebrities XXX% luxury brands XXX% agencies XXX%

Social topic influence rare earth 0.91%, $6857t #7, open ai #2509, taiwan 0.61%, samsung 0.61%, over the 0.61%, hybrid #1581, japan 0.61%, mitsubishi 0.3%, $6920t XXX%

Top accounts mentioned or mentioned by @richieriiicch @nvidia @ariana5577 @nano0526 @semivisiontw @wolfyxbt @sydneysweeney @kawausosuki0513 @kwoneunbi @bluejay87476298 @whitehouse @semitaipei @drfrederickchen @nav09284172 @schott_ag @fredvfalcone @kapioyang @treasureh8nter @shihcolin @jaredwerba

Top assets mentioned Alphabet Inc Class A (GOOGL) Microsoft Corp. (MSFT) Arista Networks Inc (ANET)

Top Social Posts #


Top posts by engagements in the last XX hours

"AI at scale needs optical I/O. #AyarLabs and Alchip are partnering to bring co-packaged optics into nextgen AI and HPC platforms. By combining #Alchips ASIC and advanced packaging with Ayar Labs CPO solutions were enabling higher bandwidth lower latency and better power efficiency for hyperscalers"
X Link @semivision_tw 2025-09-08T06:30Z 2099 followers, 6379 engagements

"Semicon Taiwan last day #Lasertec #Heraeus #TDK #Mitsubishi"
X Link @semivision_tw 2025-09-12T05:50Z 2106 followers, 1591 engagements

"Everything is 3D in the future #LamResearch"
X Link @semivision_tw 2025-09-11T06:19Z 2101 followers, XXX engagements

"Today Applied Materials together with Arizona State University we marked the official opening of the Materials-to-Fab Center a shared world-class $XXX million research development and prototyping facility inside the universitys MacroTechnology Works at ASUs Research Park in Tempe"
X Link @semivision_tw 2025-10-10T05:51Z 2105 followers, XXX engagements

"In front-end wafer manufacturing the sector is almost monopolized by five major playersASML Applied Materials Lam Research Tokyo Electron (TEL) and KLAon which foundries such as TSMC Samsung Intel and UMC are highly dependent. While U.S. and Dutch firms remain global leaders in lithography deposition and etching export controls and policies such as the CHIPS Act and the European Chips Act have compelled equipment suppliers to adjust their footprints establishing new production and service bases across North America Europe Japan and Southeast Asia to strengthen supply chain resilience."
X Link @semivision_tw 2025-10-06T00:51Z 2105 followers, 1128 engagements

"Custom ASIC design house #Alchip Technologies and optical I/O company #AyarLabs have unveiled a reference design platform for AI ASICs with multiple optical die-die I/O engines. Enabling scale-up network and extended memory this design would allow 200-250 Tb/s bidirectional bandwidth from a single SiP"
X Link @semivision_tw 2025-09-25T03:37Z 2109 followers, XXX engagements

"OCS in Technologies Sailing into the AI Supercomputing Era: Key Technologies and Industry Trends at OCP APAC 2025"
X Link @semivision_tw 2025-10-13T03:08Z 2109 followers, XXX engagements

"Zhen Ding Tech advancing ABF substrate technology From 2005 to 2026 ABF substrates have undergone dramatic scaling: -Body size expanded from 3131 mm to 120140+ mm (20 growth) -Layer count increased from X to 28+ (4 growth) -Bump count jumped from 1K to over 300K500K (300 growth)"
X Link @semivision_tw 2025-08-18T07:37Z 2102 followers, 1003 engagements

"This chart does a great job illustrating the structure and influence of the rare earth supply chain. Regardless of how this trade conflict unfolds the use of rare earths as a strategic weapon has driven home a powerful lesson for the U.S. and the world: concentrating critical supply chains in one country is a serious vulnerability. The globalization dream built over the past XX years has been dealt a heavy blow this time"
X Link @semivision_tw 2025-10-12T00:28Z 2100 followers, XXX engagements

"Big moment at WESEMiBAY Shanghai Jiao Tong Universitys CHIPX team officially released the thin-film lithium niobate photonic chip PDK marking a key step forward for Chinas integrated photonics ecosystem"
X Link @semivision_tw 2025-10-15T03:53Z 2109 followers, XXX engagements

"Hybrid Bonding at Scale: BESIs Vision and Industry Evolution in 3D Integration"
X Link @semivision_tw 2025-10-09T01:50Z 2112 followers, XXX engagements

"Rare earth minerals (REMs) consist of XX chemically similar elements known as rare earth elements (REEs). They are divided into two main categories: Light Rare Earth Elements (LREEs) Heavy Rare Earth Elements (HREEs) These elements highlighted in the periodic table play a critical role in clean energy technologies from wind turbines and EV motors to advanced electronics and defense systems"
X Link @semivision_tw 2025-10-11T10:22Z 2099 followers, XXX engagements

"We are seeing new innovative materials emerging across CoWoS HBM and silicon photonics. Therefore in the future the semiconductor industry will need to shift its mindset toward the integration of materials as a core consideration"
X Link @semivision_tw 2025-10-07T02:53Z 2105 followers, 2060 engagements

"Export controls: China announced tighter export restrictions on rare earth minerals and related production technologies materials crucial for defense and high-tech manufacturing. At the same time new export license requirements were imposed on certain lithium battery production equipment and materials"
X Link @semivision_tw 2025-10-11T03:49Z 2105 followers, XXX engagements

"Advantest👍"
X Link @semivision_tw 2025-09-08T01:28Z 2106 followers, XXX engagements

"History repeats itself but this time the lead roles have changed. NVIDIA CEO Jensen Huang personally handed over the worlds first DGX Spark a desktop-scale AI supercomputer with petaflop performance to Elon Musk at SpaceXs Starbase. Nine years ago Huang delivered the very first DGX-1 to the newly founded OpenAI where Musk was one of the co-founders. Today Musk is leading xAI in open competition with OpenAI and Huang is once again playing the role of AI arms dealer personally delivering this powerful machine capable of running 200-billion-parameter models locally into Musks hands. From OpenAI"
X Link @semivision_tw 2025-10-14T05:56Z 2109 followers, XXX engagements

"SK Hynix new fabAmazing"
X Link @semivision_tw 2025-10-06T09:53Z 2099 followers, XXX engagements

"Future of AI Accelerators Ayar Labs and Alchip are teaming up to bring optics directly on-package for next-gen XPUs. Key highlights: X full-reticle AI accelerators X HBM stacks X Ayar Labs TeraPHY optical engines Integrated passive devices (IPD) for better signal integrity UCIe protocol converter chiplets for scale-up This collaboration blends high-bandwidth low-latency optical I/O with advanced packaging shaping the future of heterogeneous AI compute. #AI #Semiconductors #Optical #AdvancedPackaging"
X Link @semivision_tw 2025-09-30T04:55Z 2112 followers, 8154 engagements

"FUJITSUMONAKA-X (1.4 nm) The Worlds Most Advanced Processor Made in Japan for AI"
X Link @semivision_tw 2025-10-11T08:45Z 2101 followers, XXX engagements

"Key Challenges for Super Stacks: Wafer-to-Wafer vs. Chip-to-Wafer As the industry pushes toward 3D Super Stacks for next-generation AI and HPC systems both Wafer-to-Wafer (W2W) and Chip-to-Wafer (C2W) approaches present distinct trade-offs: 🔷W2W is more mature with finer pitch and higher throughput but it requires same-size dies involves random pairing and faces cumulative yield risks (every die in the stack must work). 🔷C2W is less mature and currently coarser in pitch (though improving) but allows different die sizes intelligent die pairing and avoids cumulative yield issues through"
X Link @semivision_tw 2025-10-13T09:28Z 2105 followers, XXX engagements

"U.S.China trade tensions escalated sharply 1.U.S. threatens higher tariffs President Trump warned of a new round of steeper tariffs on Chinese imports sparking a wave of pessimism across financial markets"
X Link @semivision_tw 2025-10-11T03:48Z 2105 followers, XXX engagements

"Following Perplexitys global rollout of its AI browser Cometoriginally priced at $200for free including access to its core features Alphabet Inc. ($GOOGL) the parent company of Google saw its stock price drop by approximately XXX% wiping out billions of dollars in market value"
X Link @semivision_tw 2025-10-04T00:20Z 2109 followers, XXX engagements

"Imec has joined forces with AIXTRON GlobalFoundries KLA Corporation Synopsys and Veeco to launch a XXX mm GaN Open Innovation Program. The initiative aims to develop XXX mm GaN epitaxy and process flows for both low- and high-voltage GaN high electron mobility transistors (HEMTs) with the goals of reducing manufacturing costs and enabling the development of more advanced power devices"
X Link @semivision_tw 2025-10-08T01:24Z 2105 followers, XXX engagements

"Powering the Next Generation of AI Chips Besi Applied Materials Besi Switzerland AG has announced its collaboration with Applied Materials on the newly introduced Kinex Bonding System the industrys first fully integrated die-to-wafer hybrid bonder. As AI and high-performance computing chips grow increasingly complex the demand for tighter integration higher accuracy and better process efficiency continues to rise"
X Link @semivision_tw 2025-10-09T01:51Z 2112 followers, XX engagements

"Sailing into the AI Supercomputing Era: Key Technologies and Industry Trends at OCP APAC 2025"
X Link @semivision_tw 2025-10-13T03:43Z 2109 followers, XXX engagements

"🟣At SEMICON Taiwan I spotted a showcase from LIST Technology (Zhen Ding Tech. Group) highlighting their high-layer FCBGA substrate for AI servers. XX layers ABF GL107 material XX XXX mm body size 11/11 m L/S Over 160K bump counts As AI accelerators push toward higher bandwidth and power delivery substrates like these become the true enablers. Advanced packaging isnt just about stacking chipsits about building the complex highways underneath that make AI scale possible. The race for high-layer count finer line/space substrates is intensifying and Taiwans ecosystem is clearly at the forefront."
X Link @semivision_tw 2025-09-11T05:55Z 2102 followers, 16.9K engagements

"#Advantest (Japan) is the worlds leading supplier of Automated Test Equipment (ATE) holding roughly XX% of the global market share in 2023 with the combined share of Advantest and the U.S. player Teradyne reaching around 80%. The company offers a comprehensive product portfolio that spans SoC mixed-signal and memory testers system-level test (SLT) platforms test handlers and interface solutions all integrated with edge computing and data analytics capabilities"
X Link @semivision_tw 2025-08-15T01:49Z 2106 followers, 1487 engagements

"Chinese smartphone makers keep pushing the boundaries of innovation Take this upcoming OPPO Find X9 series as an example it introduces a magnetic Hasselblad flash ring light capable of XXX consecutive flashes and 2200 Lux brightness at XXX m aiming to deliver studio-grade lighting in mobile photography. Its a great reminder that Chinas smartphone industry isnt just about specs its increasingly about original ideas and bold engineering"
X Link @semivision_tw 2025-10-13T03:54Z 2105 followers, XXX engagements

"Advantest Leading the AI Testing Wave: Earning the Title of the ASML of the Test Industry"
X Link @semivision_tw 2025-09-23T03:14Z 2105 followers, XXX engagements

"On the logic process side TSMCs evolution from N3 N2 A16 involves more than geometric scalingit represents a transistor architecture transition: from FinFET to GAA (Gate-All-Around) and finally to the Super Power Rail architecture in the A16 generation. This series of changes is aimed at achieving the optimal balance of PPA (Power Performance and Area) laying the foundation for high-efficiency AI computing"
X Link @semivision_tw 2025-10-08T03:13Z 2105 followers, XXX engagements

"Money-Making Code: On October XX OpenAI CEO Sam Altman announced on social media platform X that the company will soon relax ChatGPTs safety restrictions allowing verified adult users to engage in erotic conversations with the chatbot and making the AIs responses more human-like and approachable"
X Link @semivision_tw 2025-10-15T03:45Z 2109 followers, XXX engagements

"On October X Sam Altman visited South Korea and signed agreements with Samsung and SK Hynix to supply memory chips for the Stargate project. The expected demand is 900000 wafers per month nearly double the current global monthly HBM capacity of 500000"
X Link @semivision_tw 2025-10-01T13:26Z 2099 followers, XXX engagements

"#Broadcom is proud to be a part of a new Scale-Up Networking Collaboration to advance Ethernet for AI scale-up systems with industry leaders AMD Arm Arista Networks Cisco HPE Networking Marvell Technology Meta Microsoft NVIDIA OpenAI and Oracle. This open forum will drive technical collaboration interoperability and standards alignment"
X Link @semivision_tw 2025-10-14T05:43Z 2109 followers, XXX engagements

"🚨 Rapidus 2nm Update: Logic Density Breakthrough Japans Rapidus just unveiled progress on its 2nm 2HP process revealing logic density figures that put it neck-and-neck with TSMCs N2 node and significantly ahead of Intels 18A. TSMC still leads in SRAM density critical for cache-heavy AI and HPC workloads. Intel 18A while competitive on performance lags in density affecting chip size economics. Rapidus if it delivers on these numbers is showing it can compete at the cutting edge of logic density. But density is only the beginning volume manufacturing ecosystem maturity and unique"
X Link @semivision_tw 2025-09-01T11:38Z 2105 followers, 2363 engagements

"Decoding Node Strategy: MediaTeks Long-Lasting Process Nodes and AI Roadmap"
X Link @semivision_tw 2025-10-04T02:03Z 2104 followers, XXX engagements

"🚗 #Foxconn EV Technology a key overseas EV venture under the Hon Hai Group plays a central role in the companys global electric vehicle strategy. In November 2021 Hon Hai signed a definitive asset purchase agreement with U.S.-based Lordstown Motors for the acquisition of its manufacturing plant in Lordstown Ohio. Foxconn #EV Technology served as the main investment entity behind this deal"
X Link @semivision_tw 2025-07-26T11:32Z 2099 followers, XXX engagements